微信公众号搜"智元新知"关注
微信扫一扫可直接关注哦!

SystemVerilog:有没有办法让 reg 在一行中延迟超过 1 个时钟周期?

如何解决SystemVerilog:有没有办法让 reg 在一行中延迟超过 1 个时钟周期?

我有一堆信号要延迟 x 个周期,这样我就可以轻松地调试波形中的信号。基本上我想为不同的单位制作信号对齐在一起。

我知道你可以这样做,但这是为每个信号写两行而忽略 for 循环。有没有办法在每个信号 1 行中做到这一点?

std::transform(begin(myList),end(myList),begin(myVector),[](Stocks& stock)
    {
        return &stock;
    });

编辑:另一种选择是实现一个执行移位寄存器的子模块,但我正在寻找一个只有 1 个模块的选项。

解决方法

您可以使用未打包的数组连接

<?xml version="1.0" encoding="utf-8"?>

<LinearLayout 
    xmlns:android="http://schemas.android.com/apk/res/android"
    android:layout_width="match_parent"
    android:layout_height="match_parent"
    android:orientation="vertical" >

    <ImageView
        android:id="@+id/photo_1_preview"
        android:layout_width="350dp"
        android:layout_height="350dp"
        android:adjustViewBounds="false"
        android:scaleType="fitStart"
        android:src="@drawable/android"/>

</LinearLayout>

版权声明:本文内容由互联网用户自发贡献,该文观点与技术仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌侵权/违法违规的内容, 请发送邮件至 dio@foxmail.com 举报,一经查实,本站将立刻删除。