微信公众号搜"智元新知"关注
微信扫一扫可直接关注哦!

在SystemC中处理sc_logic值时出现问题

如何解决在SystemC中处理sc_logic值时出现问题

| 我正在编写一个应用程序,以便使用SystemC库模拟硬件系统。 我的问题是处理“ 0”类型。 考虑一个简单的模块
SC_MODULE(MyModule) {
   sc_in_clk clk;
   sc_out<sc_logic> outsig;
   void main_process();
   SC_CTOR(MyModule) {
      SC_METHOD(main_process);
      sensitive << clk;
   }
};
考虑执行过程:
void MyModule::main_process() {
   this->outsig.write(SC_LOGIC_1);
}
好。 问题:
outsig
始终是
\'0\'
,并且从不更改其值。 我在仿真中写入了许多信号,但是仅在
sc_out
端口中的
sc_logic
值时才会出现此问题。 有谁能够帮助我?     

解决方法

在构造函数中,将ѭ7放在ѭ8之前。     

版权声明:本文内容由互联网用户自发贡献,该文观点与技术仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌侵权/违法违规的内容, 请发送邮件至 dio@foxmail.com 举报,一经查实,本站将立刻删除。