ModelSim:Altera On-Chip Flash 在 readdata 中没有响应

如何解决ModelSim:Altera On-Chip Flash 在 readdata 中没有响应

波形模型:https://i.stack.imgur.com/qpBhr.png

带有 .dat 文件的闪存的 Quartus 初始化: https://i.stack.imgur.com/SXH83.png

模拟器中的altera_onchip_flash_block: https://i.stack.imgur.com/X20kI.png

我似乎无法从我的 Altera On-Chip Flash 中得到响应。我已经用 Quartus 生成了它,并通过 Quartus 用 .dat 文件对其进行了初始化。当我只模拟 IPcore 时,它​​工作正常,但在我必须为我的公司使用的测试平台环境中,我得到未定义的 data_readdata。

如第三个屏幕截图和 ModelSim 的错误消息所示,DIN/drdin 出现问题。

我使用 MAX 10 FPGA 和 VHDL 作为语言。

这就是我在我的 ufm 架构中实例化它的方式。然后我使用状态机读取地址 000 到 005,然后重复。

     component ufmIP is
        port (
            clock                   : in  std_logic                     := 'X';             -- clk
            avmm_csr_addr           : in  std_logic                     := 'X';             -- address
            avmm_csr_read           : in  std_logic                     := 'X';             -- read
            avmm_csr_writedata      : in  std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
            avmm_csr_write          : in  std_logic                     := 'X';             -- write
            avmm_csr_readdata       : out std_logic_vector(31 downto 0);                    -- readdata
            avmm_data_addr          : in  std_logic_vector(11 downto 0) := (others => 'X'); -- address
            avmm_data_read          : in  std_logic                     := 'X';             -- read
            avmm_data_writedata     : in  std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
            avmm_data_write         : in  std_logic                     := 'X';             -- write
            avmm_data_readdata      : out std_logic_vector(31 downto 0);                    -- readdata
            avmm_data_waitrequest   : out std_logic;                                        -- waitrequest
            avmm_data_readdatavalid : out std_logic;                                        -- readdatavalid
            avmm_data_burstcount    : in  std_logic_vector(3 downto 0) := (others => 'X');  -- burstcount
            reset_n                 : in  std_logic                    := 'X'               -- reset_n
        );
    end component ufmIP;

    flash : component ufmIP
        port map (
            clock                   => clock,--    clk.clk
            avmm_csr_addr           => avmm_csr_addr,--    csr.address
            avmm_csr_read           => avmm_csr_read,--       .read
            avmm_csr_writedata      => avmm_csr_writedata,--       .writedata
            avmm_csr_write          => avmm_csr_write,--       .write
            avmm_csr_readdata       => avmm_csr_readdata,--       .readdata
            avmm_data_addr          => avmm_data_addr,--   data.address
            avmm_data_read          => avmm_data_read,--       .read
            avmm_data_writedata     => avmm_data_writedata,--       .writedata
            avmm_data_write         => avmm_data_write,--       .write
            avmm_data_readdata      => avmm_data_readdata,--       .readdata
            avmm_data_waitrequest   => avmm_data_waitrequest,--       .waitrequest
            avmm_data_readdatavalid => avmm_data_readdatavalid,--       .readdatavalid
            avmm_data_burstcount    => avmm_data_burstcount,--       .burstcount
            reset_n                 => reset_n                  -- nreset.reset_n
        );

when read =>
                    avmm_data_read <= '1';
                    -- data addresses as defined
                    case read_from is
                        when crc =>
                            avmm_data_addr <= x"000";
                    ....

ModelSim 显示的消息:

# ufm_testbench.ufm_inst.flash.onchip_flash_0.altera_onchip_flash_block.inst.<protected>.<protected>.<protected>.<protected>.<protected>.<protected>: 0.01ns: WARNING: SE shows unknown state!
# FLASH_READY detected
# ufm_testbench.ufm_inst.flash.onchip_flash_0.altera_onchip_flash_block.inst.<protected>.<protected>.<protected>.<protected>.<protected>.<protected>: 525.00ns: WARNING: DIN shows unknown state!
# ** Error: $hold( posedge <protected> &&& <protected>:520100 ps,negedge <protected>:530 ns,26 ns );
#    Time: 530 ns  Iteration: 7  Protected: /ufm_testbench/ufm_inst/flash/onchip_flash_0/altera_onchip_flash_block/inst/<protected>/<protected>/<protected>/<protected>/<protected>/<protected>/#Setuphold# File: C:/intelfpga_lite/20.1/quartus/eda/sim_lib/mentor/fiftyfivenm_atoms_ncrypt.v Line: 38
# ** Error: $hold( posedge <protected> &&& <protected>:520100 ps,26 ns );
#    Time: 530 ns  Iteration: 7  Protected: /ufm_testbench/ufm_inst/flash/onchip_flash_0/altera_onchip_flash_block/inst/<protected>/<protected>/<protected>/<protected>/<protected>/<protected>/#Setuphold# File: C:/intelfpga_lite/20.1/quartus/eda/sim_lib/mentor/fiftyfivenm_atoms_ncrypt.v Line: 38
# ufm_testbench.ufm_inst.flash.onchip_flash_0.altera_onchip_flash_block.inst.<protected>.<protected>.<protected>.<protected>.<protected>.<protected>: 535.00ns: WARNING: DIN shows unknown state!
# ufm_testbench.ufm_inst.flash.onchip_flash_0.altera_onchip_flash_block.inst.<protected>.<protected>.<protected>.<protected>.<protected>.<protected>: 545.00ns: WARNING: DIN shows unknown state!
# ufm_testbench.ufm_inst.flash.onchip_flash_0.altera_onchip_flash_block.inst.<protected>.<protected>.<protected>.<protected>.<protected>.<protected>: 555.00ns: WARNING: DIN shows unknown state!
# ufm_testbench.ufm_inst.flash.onchip_flash_0.altera_onchip_flash_block.inst.<protected>.<protected>.<protected>.<protected>.<protected>.<protected>: 565.00ns: WARNING: DIN shows unknown state!
# 
# TESTBENCH: END OF SIMULATION!!! ```


版权声明:本文内容由互联网用户自发贡献,该文观点与技术仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌侵权/违法违规的内容, 请发送邮件至 dio@foxmail.com 举报,一经查实,本站将立刻删除。

相关推荐


使用本地python环境可以成功执行 import pandas as pd import matplotlib.pyplot as plt # 设置字体 plt.rcParams[&#39;font.sans-serif&#39;] = [&#39;SimHei&#39;] # 能正确显示负号 p
错误1:Request method ‘DELETE‘ not supported 错误还原:controller层有一个接口,访问该接口时报错:Request method ‘DELETE‘ not supported 错误原因:没有接收到前端传入的参数,修改为如下 参考 错误2:cannot r
错误1:启动docker镜像时报错:Error response from daemon: driver failed programming external connectivity on endpoint quirky_allen 解决方法:重启docker -&gt; systemctl r
错误1:private field ‘xxx‘ is never assigned 按Altʾnter快捷键,选择第2项 参考:https://blog.csdn.net/shi_hong_fei_hei/article/details/88814070 错误2:启动时报错,不能找到主启动类 #
报错如下,通过源不能下载,最后警告pip需升级版本 Requirement already satisfied: pip in c:\users\ychen\appdata\local\programs\python\python310\lib\site-packages (22.0.4) Coll
错误1:maven打包报错 错误还原:使用maven打包项目时报错如下 [ERROR] Failed to execute goal org.apache.maven.plugins:maven-resources-plugin:3.2.0:resources (default-resources)
错误1:服务调用时报错 服务消费者模块assess通过openFeign调用服务提供者模块hires 如下为服务提供者模块hires的控制层接口 @RestController @RequestMapping(&quot;/hires&quot;) public class FeignControl
错误1:运行项目后报如下错误 解决方案 报错2:Failed to execute goal org.apache.maven.plugins:maven-compiler-plugin:3.8.1:compile (default-compile) on project sb 解决方案:在pom.
参考 错误原因 过滤器或拦截器在生效时,redisTemplate还没有注入 解决方案:在注入容器时就生效 @Component //项目运行时就注入Spring容器 public class RedisBean { @Resource private RedisTemplate&lt;String
使用vite构建项目报错 C:\Users\ychen\work&gt;npm init @vitejs/app @vitejs/create-app is deprecated, use npm init vite instead C:\Users\ychen\AppData\Local\npm-
参考1 参考2 解决方案 # 点击安装源 协议选择 http:// 路径填写 mirrors.aliyun.com/centos/8.3.2011/BaseOS/x86_64/os URL类型 软件库URL 其他路径 # 版本 7 mirrors.aliyun.com/centos/7/os/x86
报错1 [root@slave1 data_mocker]# kafka-console-consumer.sh --bootstrap-server slave1:9092 --topic topic_db [2023-12-19 18:31:12,770] WARN [Consumer clie
错误1 # 重写数据 hive (edu)&gt; insert overwrite table dwd_trade_cart_add_inc &gt; select data.id, &gt; data.user_id, &gt; data.course_id, &gt; date_format(
错误1 hive (edu)&gt; insert into huanhuan values(1,&#39;haoge&#39;); Query ID = root_20240110071417_fe1517ad-3607-41f4-bdcf-d00b98ac443e Total jobs = 1
报错1:执行到如下就不执行了,没有显示Successfully registered new MBean. [root@slave1 bin]# /usr/local/software/flume-1.9.0/bin/flume-ng agent -n a1 -c /usr/local/softwa
虚拟及没有启动任何服务器查看jps会显示jps,如果没有显示任何东西 [root@slave2 ~]# jps 9647 Jps 解决方案 # 进入/tmp查看 [root@slave1 dfs]# cd /tmp [root@slave1 tmp]# ll 总用量 48 drwxr-xr-x. 2
报错1 hive&gt; show databases; OK Failed with exception java.io.IOException:java.lang.RuntimeException: Error in configuring object Time taken: 0.474 se
报错1 [root@localhost ~]# vim -bash: vim: 未找到命令 安装vim yum -y install vim* # 查看是否安装成功 [root@hadoop01 hadoop]# rpm -qa |grep vim vim-X11-7.4.629-8.el7_9.x
修改hadoop配置 vi /usr/local/software/hadoop-2.9.2/etc/hadoop/yarn-site.xml # 添加如下 &lt;configuration&gt; &lt;property&gt; &lt;name&gt;yarn.nodemanager.res