微信公众号搜"智元新知"关注
微信扫一扫可直接关注哦!

为chisel中的模拟生成寄存器延迟

如何解决为chisel中的模拟生成寄存器延迟

当我使用 Verilog 时,我想定义一个这样的寄存器:

reg [7:0] cnt;
always @ (posedge clk) begin
   cnt <= #1 cnt + 1;
end

因为使用了#1,所以寄存器会在波形中clk位后稍有变化,调试的时候会比较清楚。

我想知道我是否可以在 chisel3 中做到这一点?通过Firrtl生成Verilog,在iotester中生成“vcd”波形。

解决方法

您不能在 Chisel 中执行此操作。 Chisel 仅支持 Verilog 的一小部分可综合子集,以同步数字设计为目标。 Chisel 精神的一个重要部分是模拟与综合相匹配(您正在模拟您正在录制的内容)。虽然我在更清晰的波形中完全看到了用例,但延迟的作用远不止于此,并且并不真正适合 Chisel 试图完成的任务。

如果波形查看器本身提供视觉提示来帮助使其更清晰,而不是强迫用户诉诸延迟技巧,那就太好了。

版权声明:本文内容由互联网用户自发贡献,该文观点与技术仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌侵权/违法违规的内容, 请发送邮件至 dio@foxmail.com 举报,一经查实,本站将立刻删除。