微信公众号搜"智元新知"关注
微信扫一扫可直接关注哦!

打开 .vcd 文件时出错无此文件或目录

如何解决打开 .vcd 文件时出错无此文件或目录

我的 Verilog 代码存储在 C:\FA 中。一共有三个文件

FA.v,fa.vvp,TM_FA.v

我按照我的书步骤进行。

  1. iverilog -o fa.vvp
  2. vvp fa.vvp
  3. 完成
  4. getwave fa.vcd &

当我用 getwave fa.vcd & 模拟它时,它显示

Error opening  .vcd file 'fa.vcd'.
Why: No such file or directory

我一开始用的是Icarus和GTKwave,后来不知道怎么修。

解决方法

您需要在 Verilog 测试平台中添加代码以明确告诉 iverilog 创建 VCD 文件。 The iverilog documentation states

// Do this in your test bench

initial
 begin
    $dumpfile("test.vcd");
    $dumpvars(0,test);
 end

版权声明:本文内容由互联网用户自发贡献,该文观点与技术仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌侵权/违法违规的内容, 请发送邮件至 dio@foxmail.com 举报,一经查实,本站将立刻删除。