微信公众号搜"智元新知"关注
微信扫一扫可直接关注哦!

如何在断点后恢复 ModelSim结束单步执行

如何解决如何在断点后恢复 ModelSim结束单步执行

我是 ModelSim 的新手,想知道在被断点停止后如何恢复仿真。我有我想要研究的 VHDL 代码的一部分,所以我在该代码块的开头放置了一个断点。但是,这部分代码只有在特定事件发生时才会进入,所以一旦模拟被断点暂停一次,我希望能够恢复它,这样直到再次遇到断点才再次停止.我认为这是可能的,因为它是编程语言中的一个常见调试功能,我不明白为什么它不能在 HDL 模拟器中实现。

提前致谢

解决方法

好的,我找到了答案。在窗口顶部,与所有其他运行按钮一起,有一个名为 ContinueRun 的按钮。这就是我想要的。也可以使用 Tcl 命令“run -continue”。

版权声明:本文内容由互联网用户自发贡献,该文观点与技术仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌侵权/违法违规的内容, 请发送邮件至 dio@foxmail.com 举报,一经查实,本站将立刻删除。