微信公众号搜"智元新知"关注
微信扫一扫可直接关注哦!

Anylogic 平均客户流失率

如何解决Anylogic 平均客户流失率

我有一个队列容量限制为 2 的模型。我还在队列前设置了一个“SelectOutput”块,因为无法进入队列的客户会丢失。所以现在我想找出客户流失的平均比率?我怎样才能做到这一点? 非常感谢!

解决方法

创建一个 int 类型的变量 leftLastMin

在 SelectOutput 的“on exit (false)”代码中,写入 leftLastMin ++

现在,创建一个每分钟触发一次的重复事件。在这里,您可以轻松地对最后一分钟留下的数字进行一些操作(跟踪、存储到数据集或统计对象中...)。

另外,重置您的计数器 leftLastMin=0,以便为下一分钟做好准备。

版权声明:本文内容由互联网用户自发贡献,该文观点与技术仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌侵权/违法违规的内容, 请发送邮件至 dio@foxmail.com 举报,一经查实,本站将立刻删除。