微信公众号搜"智元新知"关注
微信扫一扫可直接关注哦!

Jmodelica 界面在模拟模型时自动关闭

如何解决Jmodelica 界面在模拟模型时自动关闭

我使用 Jmodelica 成功编译了我的模型 fmu,并尝试在 Jmodelica 中模拟模型。但是,在加载并模拟 fmu 后,我收到一条指令“按任意键继续……”,如果我在这里输入任何随机键,Jmodelica 界面会自动关闭。这可能是什么原因?

from pyfmi import load_fmu
model=load_fmu('OU44Emulator_Models_Validation_RealOccupancy.fmu')
res=model.simulate(final_time=86400)
Press any key to continue . . .

我期望的结果如下所示,这是我在 Jmodelica 中运行另一个模型时的结果

res=model.simulate(final_time=86400)
Final Run Statistics: ---

 Number of steps                                 : 44
 Number of function evaluations                  : 72
 Number of Jacobian evaluations                  : 1
 Number of function eval. due to Jacobian eval.  : 5
 Number of error test failures                   : 4
 Number of nonlinear iterations                  : 68
 Number of nonlinear convergence failures        : 0

Solver options:

 Solver                   : CVode
 Linear multistep method  : BDF
 Nonlinear solver         : Newton
 Linear solver type       : DENSE
 Maximal order            : 5
 Tolerances (absolute)    : [  3.00000000e-06   1.00000000e-08   1.00000000e-08   1.00000000e-08
   3.00000000e-06]
 Tolerances (relative)    : 1e-06

Simulation interval    : 0.0 - 86400.0 seconds.
Elapsed simulation time: 0.0079002 seconds.

版权声明:本文内容由互联网用户自发贡献,该文观点与技术仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌侵权/违法违规的内容, 请发送邮件至 dio@foxmail.com 举报,一经查实,本站将立刻删除。