错误:(vsim-3173) 实体“C:/intelFPGA_lite/18.1/pipelines/simulation/modelsim/work.finalpipelines”没有架构

如何解决错误:(vsim-3173) 实体“C:/intelFPGA_lite/18.1/pipelines/simulation/modelsim/work.finalpipelines”没有架构

尝试在modelsim中模拟我的测试平台时遇到问题,我收到错误消息,我的实体没有架构。测试平台在modelsim中完美编译,但当我开始模拟时,我得到了上面提到的错误。

如果你能帮助我,我将不胜感激。

实体在quartus ii中编译,测试台在modelsim中编译,但是当我在modelsim中模拟时,即使我选择了架构行为,它也说我无法模拟,因为它没有架构

library IEEE;
use IEEE.Std_logic_1164.all;

entity finalPipelines_tb is
end;

architecture bench of finalPipelines_tb is

  component finalPipelines
    Port(CLK,RST : in std_logic;
          a,b,c,d,e,f,g,h,i : in std_logic_vector(7 downto 0);
          suma : out std_logic_vector(7 downto 0);
          cout : out std_logic);
    end component;

  signal CLK,RST: std_logic;
  signal a,i: std_logic_vector(7 downto 0);
  signal suma: std_logic_vector(7 downto 0);
  signal cout: std_logic;

  constant clock_period: time := 10 ns;
  signal stop_the_clock: boolean;

begin

  uut: finalPipelines port map ( CLK  => CLK,RST  => RST,a    => a,b    => b,c    => c,d    => d,e    => e,f    => f,g    => g,h    => h,i    => i,suma => suma,cout => cout );

  workflow: process
  begin

    
    RST <= '1';
    a <= "00001000";
     b <= "11111111";
     wait for 20 ns;
     c <= "00010101";
     b <= "00010110";
     wait for 20 ns;
     a <= "00000000";
     c <= "10001000";
     
    stop_the_clock <= true;
    wait;
  end process;

  clocking: process
  begin
    while not stop_the_clock loop
      CLK <= '0','1' after clock_period / 2;
      wait for clock_period;
    end loop;
    wait;
  end process;

end;
library IEEE;
use ieee.std_logic_1164.all;

entity finalPipelines is
    Port(CLK,i : in std_logic_vector(7 downto 0);
          suma : out std_logic_vector(7 downto 0);
          cout : out std_logic);
    end finalPipelines;

architecture rtl of finalPipelines is
    
    signal FF1,FF2,FF3,FF4,FF5,FF6,FF7,FF8,FF9 : std_logic_vector(7 downto 0);
    signal FF10,FF12,FF14,FF16,FF18,FF20 : std_logic_vector(11 downto 0);
    signal FF11,FF13,FF15,FF17,FF19,FF21 : std_logic_vector(12 downto 0);
    
    signal FFS : std_logic_vector (11 downto 0);
    signal FFCo : std_logic_vector (12 downto 0);
    
    signal s0,s1,s2,s3,s4,s5 : std_logic_vector(11 downto 0);
    signal c0,c1,c2,c3,c4,c5 : std_logic_vector(12 downto 0);
    signal s6 : std_logic_vector(11 downto 0);
    signal c6 : std_logic_vector(12 downto 0);

    component csa12bits
        port(
            A,B,C : in std_logic_vector(11 downto 0);
            Suma  : out std_logic_vector(11 downto 0);
            Cout  : out std_logic_vector(12 downto 0)
            );
        end component;
    
    component rca
        port(
            a,b : in std_logic_vector(7 downto 0);
            cin : in std_logic;
            s   : out std_logic_vector(7 downto 0);
            cout: out std_logic);
        end component;
begin
    process(CLK,RST) begin
        if RST = '0' then
        FF1 <= x"00";
        FF2 <= x"00";
        FF3 <= x"00";
        FF4 <= x"00";
        FF5 <= x"00";
        FF6 <= x"00";
        FF7 <= x"00";
        FF8 <= x"00";
        FF9 <= x"00";
        FF10 <= x"000"      ;
        FF11 <= x"000"&'0';
        FF12 <= x"000"      ;
        FF13 <= x"000"&'0';
        FF14 <= x"000"      ;
        FF15 <= x"000"&'0';
        FF16 <= x"000"      ;
        FF17 <= x"000"&'0';
        FF18 <= x"000"      ;
        FF19 <= x"000"&'0';
        FF20 <= x"000"      ;
        FF21 <= x"000"&'0';
        
        
        FFS <= x"000";
        FFCo <= x"000"&'0';
        elsif CLK'event and CLK = '1' then
           FF1 <= a;
           FF2 <= b;
           FF3 <= c;
           FF4 <= d;
           FF5 <= e;
           FF6 <= f;
           FF7 <= g;
           FF8 <= h;
           FF9 <= i;
            FF10 <= s0;
            FF11 <= c0;
            FF12 <= s1;
            FF13 <= c1;
            FF14 <= s2;
            FF15 <= c2;
            FF16 <= s3;
            FF17 <= c3;
            FF18 <= s4;
            FF19 <= c4;
            FF20 <= s5;
            FF21 <= c5;

           FFS <= s6;
           FFCo <= c6;

        end if;
    end process;

    I0: csa12bits port map (x"0"&FF1,x"0"&FF2,x"0"&FF3,s0,c0);
    I1: csa12bits port map (x"0"&FF4,x"0"&FF5,x"0"&FF6,c1);
    I2: csa12bits port map (x"0"&FF7,x"0"&FF8,x"0"&FF9,c2);
    I3: csa12bits port map (FF10,FF11(11 downto 0),c3);
    I4: csa12bits port map (FF13(11 downto 0),FF15(11 downto 0),c4);
    I5: csa12bits port map (FF16,FF17(11 downto 0),s5,c5);
    I6: csa12bits port map (FF20,FF19(11 downto 0),FF21(11 downto 0),s6,c6);

    A0: rca port map (FFS(7 downto 0),FFCo(8 downto 1),FFCo(0),suma,cout);
end rtl;

版权声明:本文内容由互联网用户自发贡献,该文观点与技术仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌侵权/违法违规的内容, 请发送邮件至 dio@foxmail.com 举报,一经查实,本站将立刻删除。

相关推荐


使用本地python环境可以成功执行 import pandas as pd import matplotlib.pyplot as plt # 设置字体 plt.rcParams[&#39;font.sans-serif&#39;] = [&#39;SimHei&#39;] # 能正确显示负号 p
错误1:Request method ‘DELETE‘ not supported 错误还原:controller层有一个接口,访问该接口时报错:Request method ‘DELETE‘ not supported 错误原因:没有接收到前端传入的参数,修改为如下 参考 错误2:cannot r
错误1:启动docker镜像时报错:Error response from daemon: driver failed programming external connectivity on endpoint quirky_allen 解决方法:重启docker -&gt; systemctl r
错误1:private field ‘xxx‘ is never assigned 按Altʾnter快捷键,选择第2项 参考:https://blog.csdn.net/shi_hong_fei_hei/article/details/88814070 错误2:启动时报错,不能找到主启动类 #
报错如下,通过源不能下载,最后警告pip需升级版本 Requirement already satisfied: pip in c:\users\ychen\appdata\local\programs\python\python310\lib\site-packages (22.0.4) Coll
错误1:maven打包报错 错误还原:使用maven打包项目时报错如下 [ERROR] Failed to execute goal org.apache.maven.plugins:maven-resources-plugin:3.2.0:resources (default-resources)
错误1:服务调用时报错 服务消费者模块assess通过openFeign调用服务提供者模块hires 如下为服务提供者模块hires的控制层接口 @RestController @RequestMapping(&quot;/hires&quot;) public class FeignControl
错误1:运行项目后报如下错误 解决方案 报错2:Failed to execute goal org.apache.maven.plugins:maven-compiler-plugin:3.8.1:compile (default-compile) on project sb 解决方案:在pom.
参考 错误原因 过滤器或拦截器在生效时,redisTemplate还没有注入 解决方案:在注入容器时就生效 @Component //项目运行时就注入Spring容器 public class RedisBean { @Resource private RedisTemplate&lt;String
使用vite构建项目报错 C:\Users\ychen\work&gt;npm init @vitejs/app @vitejs/create-app is deprecated, use npm init vite instead C:\Users\ychen\AppData\Local\npm-
参考1 参考2 解决方案 # 点击安装源 协议选择 http:// 路径填写 mirrors.aliyun.com/centos/8.3.2011/BaseOS/x86_64/os URL类型 软件库URL 其他路径 # 版本 7 mirrors.aliyun.com/centos/7/os/x86
报错1 [root@slave1 data_mocker]# kafka-console-consumer.sh --bootstrap-server slave1:9092 --topic topic_db [2023-12-19 18:31:12,770] WARN [Consumer clie
错误1 # 重写数据 hive (edu)&gt; insert overwrite table dwd_trade_cart_add_inc &gt; select data.id, &gt; data.user_id, &gt; data.course_id, &gt; date_format(
错误1 hive (edu)&gt; insert into huanhuan values(1,&#39;haoge&#39;); Query ID = root_20240110071417_fe1517ad-3607-41f4-bdcf-d00b98ac443e Total jobs = 1
报错1:执行到如下就不执行了,没有显示Successfully registered new MBean. [root@slave1 bin]# /usr/local/software/flume-1.9.0/bin/flume-ng agent -n a1 -c /usr/local/softwa
虚拟及没有启动任何服务器查看jps会显示jps,如果没有显示任何东西 [root@slave2 ~]# jps 9647 Jps 解决方案 # 进入/tmp查看 [root@slave1 dfs]# cd /tmp [root@slave1 tmp]# ll 总用量 48 drwxr-xr-x. 2
报错1 hive&gt; show databases; OK Failed with exception java.io.IOException:java.lang.RuntimeException: Error in configuring object Time taken: 0.474 se
报错1 [root@localhost ~]# vim -bash: vim: 未找到命令 安装vim yum -y install vim* # 查看是否安装成功 [root@hadoop01 hadoop]# rpm -qa |grep vim vim-X11-7.4.629-8.el7_9.x
修改hadoop配置 vi /usr/local/software/hadoop-2.9.2/etc/hadoop/yarn-site.xml # 添加如下 &lt;configuration&gt; &lt;property&gt; &lt;name&gt;yarn.nodemanager.res