微信公众号搜"智元新知"关注
微信扫一扫可直接关注哦!

行为建模不是 testbench.test 中的有效 l 值

如何解决行为建模不是 testbench.test 中的有效 l 值

我正在尝试使用两个二进制输入 A 和 B 来获得二进制输出,即 F 就像下面的真值表一样,但它一直说:

main.v:36: error: F3 is not a valid l-value in testbench.test
main.v:27:      : F3 is declared here as wire.

这是我的模型的真值表。

A1 A0 B1 B0| F3 F2 F1 F0
0  0  0  0 | 0  0  0  0
0  0  0  1 | 0  0  0  0
0  0  1  0 | 0  0  0  0
0  0  1  1 | 0  0  0  0
0  1  0  0 | 0  0  0  0
0  1  0  1 | 0  0  0  1
0  1  1  0 | 0  0  1  0
0  1  1  1 | 0  0  1  1
1  0  0  0 | 0  0  0  0
1  0  0  1 | 0  0  1  0
1  0  1  0 | 0  1  0  0
1  0  1  1 | 0  1  1  0
1  1  0  0 | 0  0  0  0
1  1  0  1 | 0  0  1  1
1  1  1  0 | 0  1  1  0
1  1  1  1 | 1  0  0  1
  

我的 icarus Verilog 代码在这里


module Multiply(A1,A0,B1,B0,F3,F2,F1,F0);
input A1,B0;
output F3,F0;


always@(A1 or A0 or B1 or B0) 
begin 

if({A1,A0}*{B1,B0})

begin
{F3,F0}=4'b0001;
{F3,F0}=4'b0010;
{F3,F0}=4'b0011;
{F3,F0}=4'b0100;
{F3,F0}=4'b0110;
{F3,F0}=4'b1001;
end

end

endmodule 

module testbench;
reg [1:0] A,B; // these are like switches
wire F3,F0; // like an LED

//test the verilog model
Multiply test(A[1],A[0],B[1],B[0],F0);

//Generate inputs
initial
begin//like {

//display the response of the circuit
//for every input combination


$display("--------------------------------------------------------");
$display("Multiplication of Two 2-Binary Inputs equal to 4-bit binary output");
$display("--------------------------------------------------------");
$display("Time\tA\tB\tF3\tF2\tF1,\tF0");
$display("--------------------------------------------------------");
$monitor("%g\t%d\t%d\t%d\t%d\t%d",$time,A,B,F0);
#15 $finish;
end
initial begin A = 0; B = 0; end

always #1 B[0] = ~B[0];
always #2 B[1] = ~B[1];
always #4 A[0] = ~A[0];
always #8 A[1] = ~A[1];
always #3

$display("--------------------------------------------------------");

endmodule

解决方法

由于您在 F3 块内对 always 进行赋值,因此您必须将其声明为 reg。 F2、F1、F0也是一样:

module Multiply(A1,A0,B1,B0,F3,F2,F1,F0);
input A1,B0;
output F3,F0;
reg F3,F0;

这修复了编译错误并允许您的模拟运行。但是,我认为 Verilog 代码与真值表不匹配。

编写真值表的常用方法是使用 case 语句:

module Multiply(A1,F0);
   input A1,B0;
   output F3,F0;
   reg    F3,F0;

   always @* begin 
        case ({A1,B0})
            4'b0101          : {F3,F0}=4'b0001;
            4'b0110          : {F3,F0}=4'b0010;
            4'b0111          : {F3,F0}=4'b0011;
            4'b1001          : {F3,F0}=4'b0010;
            4'b1010          : {F3,F0}=4'b0100;
            4'b1011,4'b1110 : {F3,F0}=4'b0110;
            4'b1111          : {F3,F0}=4'b1001;
            default          : {F3,F0}=4'b0000;
        endcase
    end
endmodule 

版权声明:本文内容由互联网用户自发贡献,该文观点与技术仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌侵权/违法违规的内容, 请发送邮件至 dio@foxmail.com 举报,一经查实,本站将立刻删除。