微信公众号搜"智元新知"关注
微信扫一扫可直接关注哦!

如何在 VHDL 中的每个时钟周期设置一个标志

如何解决如何在 VHDL 中的每个时钟周期设置一个标志

我需要在每个时钟周期增加我的计数器,我的第一个想法是在每个时钟周期设置一个标志 count

 begin
   count<='1';
   wait for -- ns;
   count<='0';
   wait for -- ns;
 end process; 

有聪明的解决方案吗?

解决方法

您提供的代码不会通过综合。

如果这是用于模拟输入信号的测试平台,您可以执行以下操作

count <= not count after period ns;

其中“周期”代表内部时钟的上升沿

如果您尝试合成此代码,则需要为该过程包含一个时钟

process ( internal_clk )
begin
        if ( rising_edge ( internal_clk ) ) then
                count <= not count;
        end if;
end process;

如果最终你只是想增加一个计数器,为什么不直接增加计数器呢?

process ( internal_clk )
begin
        if ( rising_edge ( internal_clk ) ) then
                counter <= counter + 1;
                if ( counter = max_counter ) then
                        counter <= 0;
                end if;
        end if;
end process;

请记住,这样做会导致单个时钟周期的延迟,因为这些寄存器只会更新时钟的每个上升沿。

版权声明:本文内容由互联网用户自发贡献,该文观点与技术仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌侵权/违法违规的内容, 请发送邮件至 dio@foxmail.com 举报,一经查实,本站将立刻删除。