微信公众号搜"智元新知"关注
微信扫一扫可直接关注哦!

Vivado - 请解释为什么在我的行为测试中得到 U?

如何解决Vivado - 请解释为什么在我的行为测试中得到 U?

[this is whats in my design source][1]   
 

    library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

-- 如果使用,则取消注释以下库声明 -- 带符号或无符号值的算术函数

--use IEEE.NUMERIC_STD.ALL;

-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;

entity testbench_2 is
--  Port ( );
end testbench_2;

architecture Behavioral of testbench_2 is
component source_2 is
    Port ( A : in STD_LOGIC_VECTOR (3 downto 0);
           B : in STD_LOGIC_VECTOR (3 downto 0);
           X : out STD_LOGIC);
end component;
signal  S1,S2,S3,S4 : std_logic := '0';
signal X : std_logic; 
signal A,B : STD_LOGIC_VECTOR (3 downto 0);

begin
uut : source_2 PORT MAP(A=>A,B=>B,X=>X);
process
begin
S1 <= '0'; S2 <= '1'; S3 <= '1'; S4 <= '0';
wait for 100ns;

S1 <= '1'; S2 <= '0'; S3 <= '1'; S4 <= '0';
wait for 100ns;

S1 <= '0'; S2 <= '0'; S3 <= '1'; S4 <= '1';
wait for 100ns;

wait;
end process;
end Behavioral;

不知道为什么我在我的行为测试中得到了 U。我在设置测试台时遇到问题。有人能解释一下我为什么会遇到这个问题吗? Array U that is showing up

版权声明:本文内容由互联网用户自发贡献,该文观点与技术仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌侵权/违法违规的内容, 请发送邮件至 dio@foxmail.com 举报,一经查实,本站将立刻删除。