微信公众号搜"智元新知"关注
微信扫一扫可直接关注哦!

这个错误对 Yosys 综合工具意味着什么

如何解决这个错误对 Yosys 综合工具意味着什么

我正在尝试使用 yosys 综合一个 verilog 设计,但是出现以下错误,这不是很有帮助。我什至不确定该工具抱怨的是代码的哪一部分。 (补充一下,我已经用 Modelsim 模拟了设计,没有问题)

如果有人能帮助我,我将不胜感激。

Warning: Replacing memory \cnt_CHKN_proc with list of registers. See RTL/Decode.sv:410
ERROR: Assert `arg->is_signed == sig.as_wire()->is_signed' Failed in frontends/ast/genrtlil.cc:1738.

版权声明:本文内容由互联网用户自发贡献,该文观点与技术仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌侵权/违法违规的内容, 请发送邮件至 dio@foxmail.com 举报,一经查实,本站将立刻删除。