微信公众号搜"智元新知"关注
微信扫一扫可直接关注哦!

EDA Playground EPWave $dumpfile

如何解决EDA Playground EPWave $dumpfile

我正在尝试在 EDA Playground 中模拟我的设计。我使用 ModelSim(不是来自 EDA)在本地计算机上测试了我的设计文件和测试台文件,并且成功了。但是,我尝试对 EDA Playground 做同样的事情。它在没有 EPWave 的情况下成功编译和运行。当我尝试单击“打开 EPWave”选项时,它给了我一个名为

错误

未找到 *.vcd 文件。 EPWave 不会打开。你用过 '$dumpfile("dump.vcd"); $dumpvars;'?

我该如何解决这个问题?谢谢。

我还添加了指向我的设计的链接 https://www.edaplayground.com/x/A9Rb

解决方法

这是世界上最有用的错误信息;它告诉你要写什么代码。基本上,Verilog 模拟器需要你

  • 打开一个文件来存储波形信息,这就是 $dumpfile("dump.vcd"); 是;
  • 指定波形 有关您要存储在设计中的哪些部分的信息 文件,这就是 $dumpvars; 所做的(存储所有东西,在这个 案例)。

您需要将这两行代码添加到初始块的开头,无论是完全独立的还是现有的,例如第 21 行:

initial begin
    $dumpfile("dump.vcd"); $dumpvars;
    //ADDITION
    ALU_CONTROL = 5'b00100;

版权声明:本文内容由互联网用户自发贡献,该文观点与技术仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌侵权/违法违规的内容, 请发送邮件至 dio@foxmail.com 举报,一经查实,本站将立刻删除。