微信公众号搜"智元新知"关注
微信扫一扫可直接关注哦!

如何测试 3 位总线的第一位是否设置为 1 - verilog

如何解决如何测试 3 位总线的第一位是否设置为 1 - verilog

我想知道如何检查 3 位总线的 msb 是否设置为 1,即 1xx。 当我检查 bus==3'b1xx 时似乎什么也没发生。

解决方法

您编写的表达式的结果只能是 (1'b0) 或 (1'bx),对于 if 语句分支,它们都被认为是错误的。

假设您将总线声明为 wire [2:0] bus;,您可以使用 bus[2] == 1'b1

检查它

现在在 SystemVerilog 中,您可以使用 bus ==? 3'b1xx 进行通配符匹配,它将 RHS X 视为无关紧要。 LHS 上的 X 被视为与 == 相同。

版权声明:本文内容由互联网用户自发贡献,该文观点与技术仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌侵权/违法违规的内容, 请发送邮件至 dio@foxmail.com 举报,一经查实,本站将立刻删除。