微信公众号搜"智元新知"关注
微信扫一扫可直接关注哦!

128位定点表示在Vivado仿真中显示错误

如何解决128位定点表示在Vivado仿真中显示错误

我正在尝试取小数的幂。基数和指数数是可变的。基数从0变为2 * pi,并且pi / 20越来越多。指数从0到19。因此,结果可能很大也可能最小。我以最大和最小为例。

(2 * pi)^ 19 = 1.4636 x 10 ^ 15

(pi / 20)^ 19 = 5.324485 x 10 ^(-16)

因此,我在Simulink-MATLAB中计算了定点格式。当我以定点格式(sfix128_En64)描述这些值时,vivado模拟输出是十六进制格式,它是正确的。设置实际设置时,值不正确。

我在下面安排了实际设置。

enter image description here

Vivado模拟出来了:

enter image description here

我可以尝试进行新的模拟。我控制了我的价值观。但是,我不知道为什么会发生错误。为什么会有这样的脱节?

版权声明:本文内容由互联网用户自发贡献,该文观点与技术仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌侵权/违法违规的内容, 请发送邮件至 dio@foxmail.com 举报,一经查实,本站将立刻删除。