微信公众号搜"智元新知"关注
微信扫一扫可直接关注哦!

如何在Verilog设计中正确编写循环条件?

如何解决如何在Verilog设计中正确编写循环条件?

我想用Verilog编写一个模块,该模块在正时钟沿输出相同的32位输入。但是,我对循环条件有些麻烦。

enter image description here

解决方法

module if_id (
  input             clk,input      [31:0] in,output reg [31:0] out
);

 always@(posedge clk)
   out <= in;

endmodule

如果您打算注册32bit值,则无需编写循环代码。但是,如果您需要以数组模式编写它,则需要在代码中使用genvar变量。顺便说一下,verilog变体不支持int。迁移到System-verilog以获取更多数据类型。

版权声明:本文内容由互联网用户自发贡献,该文观点与技术仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌侵权/违法违规的内容, 请发送邮件至 dio@foxmail.com 举报,一经查实,本站将立刻删除。