微信公众号搜"智元新知"关注
微信扫一扫可直接关注哦!

如何确定在VHDL中是否设置了STD_LOGIC_VECTOR中的多个位

我想知道如何确定4位STD_LOGIC_VECTOR的多于一位是否设置为“1”.

例如,如果它是“1001”或“1100”或“1111”.

我正在编写一个程序,如果我向我的实体获得多个控制信号,我必须将错误信号设置为’1′.四个控制信号已合并为一个4位STD_LOGIC_VECTOR,我需要一种智能方法来确定是否设置了多个位.

解决方法

我用它解决

with selvec select
ERR <=  '0' when "0001",'0' when "0010",'0' when "0100",'0' when "1000",'0' when "0000",'1' when others;

不是最新的代码,但它可以解决问题.

版权声明:本文内容由互联网用户自发贡献,该文观点与技术仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌侵权/违法违规的内容, 请发送邮件至 dio@foxmail.com 举报,一经查实,本站将立刻删除。

相关推荐