微信公众号搜"智元新知"关注
微信扫一扫可直接关注哦!

HDLBits答案_Verilog Language_Basics

1. Simple wire

Wire - HDLBits

module top_module( input in, output out );

    assign out = in ;

endmodule

2. Four wires

Wire4 - HDLBits

module top_module( 
    input a,b,c,
    output w,x,y,z );
    
    assign w=a;
    assign x=b;
    assign y=b;
    assign z=c;
    
endmodule

3. Inverter

Notgate - HDLBits

module top_module( input in, output out );
    
    assign out = ~in;

endmodule

4. AND gate

Andgate - HDLBits

module top_module( 
    input a, 
    input b, 
    output out );
    assign out = a&b;
endmodule

5. nor gate

Norgate - HDLBits

module top_module( 
    input a, 
    input b, 
    output out );
    assign out = ~(a|b);
endmodule

6. Xnor gate

Xnorgate - HDLBits

module top_module( 
    input a, 
    input b, 
    output out );
    assign out = ~(a^b);
endmodule

7. Declaring wires

Wire decl - HDLBits

`default_nettype none
module top_module(
    input a,
    input b,
    input c,
    input d,
    output out,
    output out_n);
    
    wire temp1,temp2;
    assign temp1 = a&b;
    assign temp2 = c&d;
    assign out   = temp1 | temp2;
    assign out_n = ~out;
    
endmodule

8. 7458 chip

7458 - HDLBits

module top_module ( 
    input p1a, p1b, p1c, p1d, p1e, p1f,
    output p1y,
    input p2a, p2b, p2c, p2d,
    output p2y );
    
    assign p1y = (p1a & p1b & p1c)|(p1d & p1e & p1f);
    assign p2y = (p2a & p2b)|(p2c & p2d);

endmodule

原文地址:https://www.jb51.cc/wenti/3280317.html

版权声明:本文内容由互联网用户自发贡献,该文观点与技术仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌侵权/违法违规的内容, 请发送邮件至 dio@foxmail.com 举报,一经查实,本站将立刻删除。

相关推荐