微信公众号搜"智元新知"关注
微信扫一扫可直接关注哦!

STM32的CAN


目录

ISO11898物理层特性
ISO11519-2物理层特性
CAN 协议5 种帧
STM32的bxCAN
CAN中滤波器

STM32 的总线仲裁
STM32的发送和接收流程
CAN相关的寄存器
CAN通信实例
STM32 的 CAN 位时间特性
单位-位
CAN的数据同步

CAN介绍

CAN 是 Controller Area Network 的缩写(以下称为 CAN),是 ISO 国际标准化的串行通信协议。
ü 在当前的汽车产业中,各样的电子控制系统被开发了出来。由于这些系统之间通信所用的数据类型及对可靠性的要求不尽相同,由多条总线构成的情况很多,线束的数量也随之增加
ü 为适应“减少线束的数量”“通过多个 LAN,进行大量数据的高速通信”的需要,1986 年德国电气商博世公司开发出面向汽车的 CAN 通信协议。此后,CAN 通过 ISO11898 及 ISO11519 进行了标准化,现在在欧洲已是汽车网络的标准协议。
○ 其中ISO11898是针对通信速率为125Kbps~1Mbps 的高速通信标准,而 ISO11519-2是针对通信速率为 125Kbps
以下的低速通信标准。

现场总线是当今自动化领域技术发展的热点之一,被誉为自动化领域的计算机局域网。它的出现为分布式控制系统实现各节点之间实时、可靠的数据通信提供了强有力的技术支持
ü CAN 控制器根据两根线上的电位差来判断总线电平。总线电平分为显性电平和隐性电平,二者必居其一。发送方通过使总线电平发生变化,将消息发送给接收方。

CAN的优势

多主控制

在总线空闲时,所有单元都可以发送消息(多主控制),而两个以上的单元同时开始发送消息时,根据标识符(Identifier 以下称为 ID)决定优先级。ID 并不是表示发送的目的地址,而是表示访问总线的消息的优先级。两个以上的单元同时开始发送消息时,对各消息 ID 的每个位进行逐个仲裁比较。仲裁获胜(被判定为优先级最高)的单元可继续发送消息,仲裁失利的单元则立刻停止发送而进行接收工作。

系统的柔软性

与总线相连的单元没有类似于“地址”的信息。因此在总线上增加单元时,连接在总线上的其它单元的软硬件及应用层都不需要改变。

通信速度较快,通信距离远

最高 1Mbps(距离小于 40M),最远可达 10KM(速率低于 5Kbps)。

具有错误检测、错误通知错误恢复功能

所有单元都可以检测错误错误检测功能),检测出错误的单元会立即同时通知其他所有单元(错误通知功能),正在发送消息的单元一旦检测出错误,会强制结束当前的发送。
强制结束发送的单元会不断反复地重新发送此消息直到成功发送为止(错误恢复功能)。

故障封闭功能

CAN 可以判断出错误的类型是总线上暂时的数据错误(如外部噪声等)还是持续的数据错误(如单元内部故障、驱动器故障、断线等)。由此功能,当总线上发生持续数据错误时,可将引起此故障的单元从总线上隔离出去。

连接节点多

CAN 总线是可同时连接多个单元的总线。可连接的单元总数理论上是没有限制的。但实际上可连接的单元数受总线上的时间延迟及电气负载的限制。降低通信速度,可连接的单元数增加;提高通信速度,则可连接的单元数减少。

JTA1050

CAN 收发芯片 JTA1050

在这里插入图片描述

STM32 的 CAN 通过 P9 的设置,连接到 TJA1050 收发芯片,然后通过接线端子(CAN)同外部的 CAN 总线连接。
图中可以看出,在战舰 STM32 开发板上面是带有120Ω的终端电阻的,如果我们的开发板不是作为 CAN 的终端的话,需要把这个电阻去掉,以免影响通信。
需要注意:CAN 和 USB 共用了 PA11 和 PA12,所以他们不能同时使用。
通过跳线帽将 PA11 和 PA12 分别连接到 CAN_RX 和 CAN_TX 上面

在这里插入图片描述

ISO11519-2物理层特性

标准的低速、远距离“开环网络”。
最大传输距离为 1km,最高通讯速率为 125kbps
两根总线是独立的、不形成闭环,要求每根总线上各串联有一个“2.2 千欧”的电阻。

在这里插入图片描述

高速 CAN 协议,当表示逻辑 1 时(隐性电平), CAN_High 和 CAN_Low线上的电压均为 2.5v,即它们的电压差 VH-VL=0V;而表示逻辑 0 时(显性电平),CAN_High 的电平为 3.5V, CAN_Low 线的电平为 1.5V,即它们的压差为2V。

ISO11898物理层特性

在这里插入图片描述

与 I2C、 SPI 等具有时钟信号的同步通讯方式不同, CAN 通讯是一种异步通讯,只具有 CAN_High 和 CAN_Low 两条信号线,共同构成一组差分信号线,以差分信号的形式进行通讯。
ü 由于 CAN 总线协议的物理层只有 1 对差分线,在一个时刻只能表示一个信号,所以对通讯节点来说, CAN 通讯是半双工的,收发数据需要分时进行。

在这里插入图片描述

低速CAN协议则有所不同。显性电平对应逻辑 0,CAN_H 和 CAN_L 之差为 2.5V 左右。而隐性电平对应逻辑 1,CAN_H 和 CAN_L 之差为 0V。
○ 在总线上显性电平具有优先权,只要有一个单元输出显性电平,总线上即为显性电平。假如有两个 CAN 通讯节点,在同一时间,一个输出隐性电平,另一个输出显性电平,类似 I2C 总线的“线与”特性将使它处于显性电平状态,显性电平的名字就是这样来的, 即可以认为显性具有优先的意味。
○ 隐形电平则具有包容的意味,只有所有的单元都输出隐性电平,总线上才为隐性电平(显性电平比隐性电平更强)。
○ 另外,在 CAN 总线的起止端都有一个 120Ω的终端电阻,来做阻抗匹配,以减少回波反射。
○ 总线最大长度为 40m,通信速度最高为 1Mbps。
ü 逻辑电平是经过TJA1050之前的电平,经过TJA1050之后会转换成CAN的电平,是为CAN_H和CAN_L,它们的压差可以判断高低电平。
ü CAN 通讯协议不对节点进行地址编码,而是对数据内容进行编码的,所以网络中的节点个数理论上不受限制,只要总线的负载足够即可,可以通过中继器增强负载

在这里插入图片描述

CAN 通讯节点由一个 CAN 控制器及 CAN 收发器组成
○ 控制器与收发器之间通过CAN_Tx 及 CAN_Rx 信号线相连
§ CAN_Tx 及 CAN_Rx 使用普通的类似 TTL 逻辑信号
○ 收发器与 CAN 总线之间使用 CAN_High 及 CAN_Low信号线相连
§ CAN_High 及CAN_Low 是一对差分信号线

CAN 协议5 种帧

5种帧介绍

CAN 协议是通过以下 5 种类型的帧进行的:

在这里插入图片描述

数据帧和遥控帧有标准格式和扩展格式两种格式。
○ 标准格式有 11 个位的标识符(ID),扩展格式有 29 个位的 ID。
数据帧一般由 7 个段构成,即:
(1) 帧起始。表示数据帧开始的段。
(2) 仲裁段。表示该帧优先级的段。ID
(3) 控制段。表示数据的字节数及保留位的段。
(4) 数据段。数据的内容,一帧可发送 0~8 个字节的数据。
(5) CRC 段。检查帧的传输错误的段。
(6) ACK 段。表示确认正常接收的段。
(7) 帧结束。表示数据帧结束的段。

数据帧的构成

在这里插入图片描述

图中 D 表示显性电平2.5,R 表示隐形电平0(下同)。
ü 帧起始(Start Of Frame),这个比较简单,标准帧和扩展帧都是由 1 个位的显性电平表示帧起始。
ü 仲裁段,表示数据优先级的段,标准帧和扩展帧格式在本段有所区别
○ 当同时有两个报文被发送时,总线会根据仲裁段的内容决定哪个数据包能被传输

在这里插入图片描述

标准格式的 ID 有 11 个位。从 ID28 到 ID18 被依次发送。禁止高 7 位都为隐性(禁止设定:ID=1111111XXXX)。
○ 扩展格式的 ID 有 29 个位。基本 ID 从 ID28 到 ID18,扩展 ID 由ID17 到 ID0 表示。基本 ID 和标准格式的 ID 相同。禁止高 7 位都为隐性ID=1111111XXXX)。
§ RTR((Remote Transmission Request Bit)远程传输请求) ,用于标识是否是远程帧(0,数据帧;1,远程帧)
§ IDE(Identifier Extension Bit),译作标识符扩展位) 位为标识符选择位(0,使用标准标识符;1,使用扩展标识符)
§ SRR(Substitute Remote Request Bit) 位为代替远程请求位,为隐性位,它代替了标准帧中的 RTR 位。
ü 控制段,由 6 个位构成,表示数据段的字节数。标准帧和扩展帧的控制段稍有不同

在这里插入图片描述

r0 和 r1 为保留位,必须全部以显性电平发送,但是接收端可以接收显性、隐性及任意组合的电平。
○ DLC(Data Length Code),译为数据长度码) 为数据长度表示段,由 4 个数据位组成,高位在前,DLC 段有效值为 0~8,但是接收方接收到 9~15 的时候并不认为是错误。表示本报文中的数据段含有多少个字节.
ü 数据段,该段可包含 0~8 个字节的数据。从最高位(MSB)开始输出,标准帧和扩展帧在这个段的定义都是一样的。

在这里插入图片描述

CRC 段,该段用于检查帧传输错误。由 15 个位的 CRC 顺序和 1 个位的 CRC 界定符(用于分隔的位)组成,标准帧和扩展帧在这个段的格式也是相同的。

CRC 的值计算范围包括:帧起始、仲裁段、控制段、数据段。接收方以同样的算法计算 CRC 值并进行比较,不一致时会通报错误
○ CRC 校验码之后,有一个 CRC 界定符,它为隐性位,主要作用是把 CRC 校验码与后面的 ACK 段间隔起来。

ACK 段,此段用来确认是否正常接收。由 ACK 槽(ACK Slot)和 ACK 界定符 2 个位组成。标准帧和扩展帧在这个段的格式也是相同的。

在这里插入图片描述


发送单元的 ACK,发送 2 个位的隐性位,而接收到正确消息的单元在 ACK 槽(ACK Slot)发送显性位,通知发送单元正常接收结束,这个过程叫发送 ACK/返回 ACK。
○ 发送 ACK 的是在既不处于总线关闭态也不处于休眠态的所有接收单元中,接收到正常消息的单元(发送单元不发送 ACK)。所谓正常消息是指不含填充错误、格式错误、CRC 错误的消息。
○ 在 ACK 槽和帧结束之间由 ACK 界定符间隔开。
ü 帧结束(End Of Frame),这个段也比较简单,标准帧和扩展帧在这个段格式一样,由 7 个位的隐性位组成,7 个隐性位表示结束。1111111

单位-位

由发送单元在非同步的情况下发送的每秒钟的位数称为位速率,就是波特率。
ü 为了实现位同步, CAN 协议把每一个数据位的时序分解成四段。
⚫ 同步段(SS)
⚫ 传播时间段(PTS)
⚫ 相位缓冲段 1(PBS1)
⚫ 相位缓冲段 2(PBS2)
○ 各段的具体时间如下

在这里插入图片描述

四段的长度加起来即为一个 CAN 数据位的长度。
○ 分解后最小的时间单位是 Tq(Time Quantum),多少个Tq的规定被称为位时序。
§ 1 位由多少个 Tq 构成,每个段又由多少个 Tq 构成等,可以任意设定位时序。
§ 通过设定位时序,多个单元可同时采样,也可任意设定采样点
○ 而一个完整的位由 8~25 个 Tq 组成。
ü 采样点,是指读取总线电平,并将读到的电平作为位值的点。位置在 PBS1 结束处。根据这个位时序,我们就可以计算 CAN 通信的波特率了。
○ 假设上图中的 1Tq=1us,而每个数据位由 19 个 Tq 组成,则传输一位数据需要时间 T1bit =19us,从而每秒可以传输的数据位个数为:1x106/19 = 52631.6 (bps),这个每秒可传输的数据位的个数即为通讯中的波特率。
○ 通过控制各段的长度,可以对采样点的位置进行偏移,以便准确地采样。

在这里插入图片描述


改变采样点

在这里插入图片描述

CAN的数据同步

目录STM32的CAN
CAN数据同步的两种方式
硬同步
重新同步

CAN数据同步的两种方式

ü CAN 的数据同步分为硬同步和重新同步。

硬同步

若某个 CAN 节点通过总线发送数据时,它会发送一个表示通讯起始的信号(帧起始信号),该信号是一个由高变低的下降沿。
ü 挂载到 CAN 总线上的通讯节点在不发送数据时,会时刻检测总线上的信号。
ü 某节点检测到总线的帧起始信号不在节点内部时序的 SS 段范围,所以判断它自己的内部时序与总线不同步,因而这个状态的采样点采集得的数据是不正确的。
ü 所以节点以硬同步的方式调整,把自己的位时序中的 SS段平移至总线出现下降沿的部分,获得同步,同步后采样点就可以采集得正确数据了。

在这里插入图片描述

重新同步

如果在一帧很长的数据内,节点信号与总线信号相位有偏移时,硬同步方式就无能为力了。
ü 因而引入重新同步方式,它利用普通数据位的高至低电平的跳变沿来同步(帧起始信号是特殊的跳变沿)。
ü 重新同步与硬同步方式相似的地方是它们都使用 SS 段来进行检测,同步的目的都是使节点内的 SS段把跳变沿包含起来。
○ 重新同步的方式分为超前和滞后两种情况,以总线跳变沿与 SS 段的相对位置进行区分。
§ 第一种相位超前的情况如图 43-7,节点从总线的边沿跳变中,检测到它内部的时序比总线的时序相对超前 2Tq,这时控制器在下一个位时序中的 PBS1 段增加 2Tq 的时间长度,使得节点与总线时序重新同步。

在这里插入图片描述


第二种相位滞后的情况如图 43-8,节点从总线的边沿跳变中,检测到它的时序比总线的时序相对滞后 2Tq,这时控制器在前一个位时序中的 PBS2 段减少 2Tq 的时间长度,获得同步。

在这里插入图片描述

在重新同步的时候, PBS1 和 PBS2 中增加或减少的这段时间长度被定义为“重新同步补偿宽度 SJW (reSynchronization Jump Width)”。
§ 一般来说 CAN 控制器会限定 SJW 的最大值,如限定了最大 SJW=3Tq 时,单次同步调整的时候不能增加或减少超过 3Tq 的时间长度,若有需要,控制器会通过多次小幅度调整来实现同步。
§ 当控制器设置的 SJW 极限值较大时,可以吸收的误差加大,但通讯的速度会下降。

STM32 的总线仲裁

在总线空闲态,最先开始发送消息的单元获得发送权。当多个单元同时开始发送时,各发送单元从仲裁段的第一位开始进行仲裁。连续输出显性电平最多的单元可继续发送。
ü ID 起着重要的作用,它决定着数据帧发送的优先级,也决定着其它节点是否会接收这个数据帧。
ü CAN 协议不对挂载在它之上的节点分配优先级和地址,对总线的占有权是由信息的重要性决定的,即对于重要的信息,我们会给它打包上一个优先级高的 ID,使它能够及时地发送出去。
ü 正因为它这样的优先级分配原则,使得 CAN 的扩展性大大加强,在总线上增加或减少节点并不影响其它设备。报文的优先级,是通过对 ID 的仲裁来确定的。
ü 根据前面对物理层的分析我们知道如果总线上同时出现显性电平和隐性电平,总线的状态会被置为显性电平, CAN 正是利用这个特性进行仲裁。

ü


若两个节点同时竞争 CAN 总线的占有权,当它们发送报文时, 若首先出现隐性电平(1),则会失去对总线的占有权,进入接收状态。

CAN中滤波器

因为在 CAN 总线上数据是以广播的形式发送的,所有连接在 CAN 总线的节点都会收到所有其它节点发出的有效数据,因而我们的 CAN 控制器大多具有根据 ID 过滤报文的功能,它可以控制自己只接收某些 ID的报文。
ü STM32 的过滤器组最多有 28 个(互联型),但是 STM32F103ZET6 只有 14 个(增强型),每个滤波器组 x 由 2 个 32 位寄存器,CAN_FxR1 和 CAN_FxR2 组成。
ü STM32 每个过滤器组的位宽都可以独立配置。根据位宽的不同,每个过滤器组可提供:
● 1 个 32 位过滤器,包括:STDID[10:0]、EXTID[17:0]、IDE 和 RTR 位
● 2 个 16 位过滤器,包括:STDID[10:0]、IDE、RTR 和 EXTID[17:15]位
○ 通过配置筛选尺度寄存器 CAN_FS1R 的 FSCx 位可以设置筛选器工作在哪个尺度。
ü 过滤器模式可配置为屏蔽位模式和标识符列表模式。
○ 在屏蔽位模式下,标识符寄存器和屏蔽寄存器一起,指定报文标识符的任何一位,应该按照“必须匹配”或“不用关心”处理。
○ 在标识符列表模式下,屏蔽寄存器也被当作标识符寄存器用。因此,不是采用一个标识符加一个屏蔽位的方式,而是使用 2 个标识符寄存器。接收报文标识符的每一位都必须跟过滤器标识符相同。
STM32的标识符过滤是一个比较复杂的小编,它的存在减少了cpu处理CAN通信的开销。
设置滤波器
CAN 的过滤器组寄存器
CAN_FMR 过滤器模式寄存器
CAN 过滤器位宽寄存器(CAN_FS1R)

STM32的bxCAN

ü STM32 自带的是 bxCAN(Basic Extended CAN),即基本扩展 CAN。
	○ 它支持 CAN 协议 2.0A 和 2.0B。
	○ 设计目标是,以最小的 cpu 负荷来高效处理大量收到的报文。
	○ 它也支持报文发送的优先级要求(优先级特性可软件配置)。
ü 支持 CAN 协议 2.0A 和 2.0B 主动模式
	○ 波特率最高达 1Mbps
	○ 支持时间触发通信
	○ 自动地接收和发送 CAN 报文,支持使用标准 ID 和扩展 ID 的报文;
	○ 具有 3 个发送邮箱
		§ 每个发送邮箱中包含有标识符寄存器 CAN_tixR、数据长度控制寄存器 CAN_TDTxR及 2 个数据寄存器 CAN_TdlxR、 CAN_TDHxR。CAN相关的寄存器
		§ 我们要使用 CAN 外设发送报文时,把报文的各个段分解,按位置写入到这些寄存器中,并对标识符寄存器 CAN_tixR 中的发送请求寄存器位 TMIDxR_TXRQ 置 1,即可把数据发送出去。

具有 3 级深度的 2 个接收 FIFO

在这里插入图片描述

		§ 每个 FIFO 中有 3 个邮箱,即最多可以缓存 6 个接收到的报文。
		§ 每个接收 FIFO 中与发送邮箱一样,也包含有标识符寄存器 CAN_RIxR、数据长度控制寄存器CAN_RDTxR 及 2 个数据寄存器 CAN_RdlxR、 CAN_RDHxR,
		§ 当接收到报文时, FIFO 的报文计数器会自增,而 STM32 内部读取 FIFO 数据之后,报文计数器会自减
		§ 通过中断或状态寄存器知道接收 FIFO 有数据后,我们再读取这些寄存器的值即可把接收到的报文加载到 STM32 的内存中。
		§ 我们通过状态寄存器可获知报文计数器的值,而通过前面主控制寄存器的 RFLM 位,可设置锁定模式
			□ 锁定模式下 FIFO 溢出时会丢弃新报文、
			□ 非锁定模式下 FIFO 溢出时新报文会覆盖旧报文。
	○ 可变的过滤器组(最多 28 个)
	○ 不支持使用 DMA 进行数据收发。

STM32 互联型产品中,带有 2 个 CAN 控制器。双 CAN 的框图:

在这里插入图片描述

	○ CAN1 是主设备,框图中的“存储访问控制器”是由 CAN1 控制的, CAN2 无法直接访问存储区域,所以使用 CAN2 的时候必须使能CAN1 外设的时钟。
		§ CAN1 控制内核包含了各种控制寄存器及状态寄存器
		§ 我们主要用到的有主控制寄存器 CAN_MCR 及位时序寄存器 CAN_BTR。
	○ 两个 CAN 都分别拥有自己的发送邮箱和接收 FIFO
	○ 他们共用 28 个滤波器。通过 CAN_FMR 寄存器的设置,可以设置滤波器的分配方式。

我们使用的 STM32F103ZET6 属于增强型,不是互联型,只有 1 个 CAN 控制器。

可以配置过滤器组的位宽和工作模式

STM32的发送和接收流程

CAN 发送流程

程序选择 1 个空置的邮箱(TME=1)→设置标识符(ID),数据长度和发送数据→设置 CAN_tixR 的 TXRQ 位为 1,请求发送→邮箱挂号(等待成为最高优先级)→预定发送(等待总线空闲)→发送→邮箱空置。
整个流程如图

在这里插入图片描述

CAN 的接收流程

CAN 接收到的有效报文,被存储在 3 级邮箱深度的 FIFO 中。
ü FIFO 完全由硬件来管理,从而节省了 cpu 的处理负荷。
ü 应用程序只能通过读取 FIFO输出邮箱,来读取 FIFO 中最先收到的报文。
○ 这里的有效报文是指那些正确被接收的(直到 EOF都没有错误)且通过了标识符过滤的报文。前面我们知道 CAN 的接收有 2 个 FIFO,我们每个滤波器组都可以设置其关联的 FIFO,通过 CAN_FFA1R 的设置,可以将滤波器组关联到FIFO0/FIFO1。

CAN 接收流程为:FIFO 空→收到有效报文→挂号_1(存入 FIFO 的一个邮箱,这个由硬件控制,我们不需要理会)→收到有效报文→挂号_2→收到有效报文→挂号_3→收到有效报文→溢出。
我们必须在 FIFO 溢出之前,读出至少 1 个报文,否则下个报文到来,将导致 FIFO 溢出,从而出现报文丢失。每
读出 1 个报文,相应的挂号就减 1,直到 FIFO 空。

在这里插入图片描述

FIFO 接收到的报文数,我们可以通过查询 CAN_RFxR 的 FMP 寄存器来得到,只要 FMP不为 0,我们就可以从 FIFO 读出收到的报文。

STM32 的位时序与波特率

STM32 外设定义的位时序与我们前面解释的 CAN 标准时序有一点区别
ü STM32 把传播时间段和相位缓冲段 1(STM32 称之为时间段 1)合并了,所以 STM32 的 CAN 一个位只有 3 段:
○ 同步段(SYNC_SEG)
○ 时间段 1(BS1),PTS 段与 PBS1 段合在一起的。
§ STM32 的 BS1 段可以设置为 1~16 个时间单元,刚好等于我们上面介绍的传播时间段和相位缓冲段 1 之和。
○ 时间段2(BS2)。
ü STM32 的 CAN 位时序如图

在这里插入图片描述

ü 图中还给出了 CAN 波特率的计算公式
	○ 我们只需要知道 BS1 和 BS2 的设置,以及 APB1的时钟频率(一般为 36Mhz),就可以方便的计算出波特率。
	○ 比如设置 TS1=6、TS2=7 和 BRP=4,在 APB1 频率为 36Mhz 的条件下,即可得到 CAN 通信的波特率=36000/[(7+8+1)*5]=450Kbps。

在这里插入图片描述


上述为将波特率设置为1Mbps的方式。
CAN 位时序寄存器(CAN_BTR)
单位-位

CAN相关的寄存器

目录STM32的bxCAN
CAN 的主控制寄存器(CAN_MCR)
CAN 位时序寄存器(CAN_BTR)
CAN 的过滤器组寄存器
CAN 发送邮箱标识符寄存器(CAN_tixR)
CAN_FMR 过滤器模式寄存器
CAN过滤器 FIFO 关联寄存器(CAN_FFA1R)
CAN 接收 FIFO 邮箱标识符寄存器 (CAN_RIxR)
CAN 过滤器激活寄存器(CAN_FA1R)
CAN 过滤器位宽寄存器(CAN_FS1R)
CAN 发送邮箱数据长度和时间戳寄存器 (CAN_TDTxR)
CAN 发送邮箱低字节数据寄存器 (CAN_TdlxR)

CAN_FMR 过滤器模式寄存器

对 28 个滤波器组的工作模式,都可以通过该寄存器设置,不过该寄存器必须在过滤器处于初始化模式下(CAN_FMR 的 FINIT 位=1),才可以进行设置。

过滤器工作的两种模式
ü 标识符列表模式
○ 它把要接收报文的 ID 列成一个表,要求报文 ID 与列表中的某一个标识符完全相同才可以接收,可以理解为白名单管理。
○ 为了过滤出一个标识符,应该设置过滤器组工作在标识符列表模式。
ü 掩码模式
○ 它把可接收报文 ID 的某几位作为列表,这几位被称为掩码,可以把它理解成关键字搜索,只要掩码(关键字)相同,就符合要求,报文就会被保存到接收 FIFO。
○ 为了过滤出一组标识符,应该设置过滤器组工作在屏蔽位模式。
○ 每组筛选器包含 2 个 32 位的寄存器,分别为 CAN_FxR1 和 CAN_FxR2,它们用来存储要筛选的 ID 或掩码

在这里插入图片描述

		§ 举个简单的例子,我们设置过滤器组 0 工作在:1 个 32 为位过滤器-标识符屏蔽模式,然后设置 CAN_F0R1=0XFFFF0000,CAN_F0R2=0XFF00FF00。
		§ 存放到 CAN_F0R1 的值就是期望收到的 ID,即我们希望收到的映像(STID+EXTID+IDE+RTR)最好是:0XFFFF0000。
		§ 0XFF00FF00 就是设置我们需要必须关心的 ID,表示收到的映像,其位[31:24]和位[15:8]这 16个位的必须和 CAN_F0R1 中对应的位一模一样,而另外的 16 个位则不关心,都认为是正确的 ID,即收到的映像必须是 0XFFxx00xx,才算是正确的(x 表示不关心)。
ü 应用程序不用的过滤器组,应该保持在禁用状态。

在这里插入图片描述

对 STM32F103ZET6 来说,只有[13:0]这 14 个位有效。
设置滤波器
CAN中滤波器

CAN 的主控制寄存器(CAN_MCR)

在这里插入图片描述

ü  DBF 调试冻结功能
DBF(Debug freeze)调试冻结,使用它可设置 CAN 处于工作状态或禁止收发的状态,禁止收发时仍可访问接收 FIFO 中的数据。这两种状态是当 STM32 芯片处于程序调试模式时才使用的,平时使用并不影响。
ü TTCM 时间触发模式
TTCM(Time triggered communication mode)时间触发模式,它用于配置 CAN 的时间触发通信模式,在此模式下, CAN 使用它内部定时器产生时间戳, 并把它保存在CAN_RDTxR、CAN_TDTxR 寄存器中。内部定时器在每个 CAN 位时间累加,在接收和发送的帧起始位被采样,并生成时间戳。 利用它可以实现 ISO 11898-4 CAN 标准的分时同步通信功能
ü ABOM 自动离线管理
ABOM(Automatic bus-off management) 自动离线管理,它用于设置是否使用自动离线管理功能。 当节点检测到它发送错误或接收错误超过一定值时,会自动进入离线状态,在离线状态中, CAN 不能接收或发送报文。 处于离线状态的时候,可以软件控制恢复或者直接使用这个自动离线管理功能,它会在适当的时候自动恢复。
ü AWUM 自动唤醒
AWUM(Automatic bus-off management), 自动唤醒功能, CAN 外设可以使用软件进入低功耗的睡眠模式,如果使能了这个自动唤醒功能,当 CAN 检测到总线活动的时候,会自动唤醒。
ü NART 自动重传
NART(No automatic retransmission)报文自动重传功能,设置这个功能后, 当报文发送失败时会自动重传至成功为止。若不使用这个功能,无论发送结果如何,消息只发送一次。
ü RFLM 锁定模式
RFLM(Receive FIFO locked mode)FIFO 锁定模式,该功能用于锁定接收 FIFO。锁定后,当接收 FIFO 溢出时,会丢弃下一个接收的报文。若不锁定,则下一个接收到的报文会覆盖原报文。
ü TXFP 报文发送优先级的判定方法
TXFP(Transmit FIFO priority)报文发送优先级的判定方法,当 CAN 外设的发送邮箱中有多个待发送报文时,本功能可以控制它是根据报文的 ID 优先级还是报文存进邮箱的顺序来发送。
ü INRQ 位,用来控制初始化请求,该位设置为1时进入初始化模式。
	○ 软件对该位清 0,可使 CAN 从初始化模式进入正常工作模式:当 CAN 在接收引脚检测到连续的 11 个隐性位后,CAN 就达到同步,并为接收和发送数据作好准备了。为此,硬件相应地对 CAN_MSR 寄存器的 INAK 位清’0’。
	○ 软件对该位置 1 可使 CAN 从正常工作模式进入初始化模式:一旦当前的 CAN 活动(发送或接收)结束,CAN 就进入初始化模式。相应地,硬件对 CAN_MSR 寄存器的 INAK 位置’1’。

设置 CAN 工作模式及波特率等

CAN 位时序寄存器(CAN_BTR)

在这里插入图片描述

ü 该寄存器用于设置分频、Tbs1、Tbs2以及 Tq 等非常重要的参数,直接决定了 CAN 的波特率。另外该寄存器还可以设置 CAN 的工作模式。
ü 位19-16:Tbs1
	○ //tbs1:时间段 1 的时间单元. 范围:CAN_BS1_1tq ~CAN_BS1_16tq
ü 位22-20: Tbs2
	○ //tbs2:时间段 2 的时间单元. 范围:CAN_BS2_1tq~CAN_BS2_8tq;
ü 位9-0:Tq,CAN1 和 CAN2 外设都是挂载在 APB1 总线上的,而位时序寄存器 CAN_BTR 中的 BRP[9:0]寄存器位可以设置 CAN 外设时钟的分频值。
	○ PCLK 指 APB1 时钟,认值为 45MHz。AHB预分频器
	○ //tsjw:重新同步跳跃时间单元.范围:CAN_SJW_1tq~ CAN_SJW_4tq
	○ //brp :波特率分频器.范围:1~1024; tq=(brp)*tpclk1
ü 25-24:SJW,本成员可以配置 SJW 的极限长度,即 CAN 重新同步时单次可增加或缩短的最大长度,它可以被配置为 (CAN_SJW_1/2/3/4tq)。

ü 位30LBKM:环回模式
	○ STM32 提供了两种测试模式,环回模式和静模式,当然他们还可以组合成环回静模式。
	○ 在环回模式下,bxCAN 把发送的报文当作接收的报文并保存(如果可以通过接收过滤)在接收邮箱里。也就是环回模式是一个自发自收的模式
	○ 环回模式可用于自测试。为了避免外部的影响,在环回模式下 CAN 内核忽略确认错误(在数据/远程帧的确认位时刻,不检测是否有显性位)。
	○ 在环回模式下,bxCAN 在内部把 Tx 输出回馈到 Rx 输入上,而完全忽略 CANRX 引脚的实际状态。
ü 位31SILM:静模式
	○ 静模式下,它自己的输出端的逻辑 0 数据会直接传输到它自己的输入端,逻辑1 可以被发送到总线
	○ 所以它不能向总线发送显性位(逻辑 0),只能发送隐性位(逻辑 1)。
	○ 输入端可以从总线接收内容。由于它只可发送的隐性位不会强制影响总线的状态,所以把它称为静模式。
	○ 这种模式一般用于监测,它可以用于分析总线上的流量,但又不会因为发送显性位而影响总线。
ü 回环静模式
	○ 回环静模式是以上两种模式的结合
	○ 自己的输出端的所有内容都直接传输到自己的输入端
	○ 并且不会向总线发送显性位影响总线,不能通过总线监测它的发送内容。
	○ 输入端只接收自己发送端的内容,不接收来自总线上的内容。
	○ 这种方式可以在“热自检”时使用,即自我检查的时候,不会干扰总线。

在这里插入图片描述

设置 CAN 工作模式及波特率等
STM32 的 CAN 位时间特性

CAN 发送邮箱标识符寄存器(CAN_tixR)

ü 该寄存器有三个,主要用来设置标识符(包括扩展标识符)
ü 还可以设置帧类型,通过 TXRQ值 1,来请求邮箱发送。
ü 因为有 3 个发送邮箱,所以寄存器 CAN_tixR 有 3 个
ü STDID位比较特殊:当报文使用扩展标识符的时候,标识符寄存器 CAN_tixR 中的 STDID[10:0]等效于 EXTID[18:28]位,它与 EXTID[17:0]共同组成完整的 29 位扩展标识符。

在这里插入图片描述

CAN 发送邮箱数据长度和时间戳寄存器 (CAN_TDTxR)

(x=0~2),该寄存器我们本章仅用来设置数据长度,即最低 4 个位。

CAN 发送邮箱低字节数据寄存器 (CAN_TdlxR)

(x=0~2),该寄存器各位

在这里插入图片描述

该寄存器用来存储将要发送的数据,这里只能存储低 4 个字节,另外还有一个寄存器CAN_TDHxR,该寄存器用来存储高 4 个字节,这样总共就可以存储 8 个字节。CAN_TDHxR的各位描述同 CAN_TdlxR 类似

CAN 过滤器位宽寄存器(CAN_FS1R)

在这里插入图片描述

ü FSCx:用于设置各滤波器组的位宽,对 28 个滤波器组的位宽设置,都可以通过该寄存器实现。

CAN 过滤器激活寄存器(CAN_FA1R)

该寄存器各位对应滤波器组和前面的几个寄存器类似,这里就不列出了,对对应位置 1,即开启对应的滤波器组;置 0 则关闭该滤波器组。

CAN过滤器 FIFO 关联寄存器(CAN_FFA1R)

在这里插入图片描述

该寄存器设置报文通过滤波器组之后,被存入的 FIFO,如果对应位为 0,则存放到 FIFO0;如果为 1,则存放到 FIFO1。
STM32的bxCAN

CAN 接收 FIFO 邮箱标识符寄存器 (CAN_RIxR)

(x=0/1),该寄存器各位描述同 CAN_tixR 寄存器几乎一模一样,只是最低位为保留位,该寄存器用于保存接收到的报
文标识符等信息,我们可以通过读该寄存器获取相关信息。

CAN 的过滤器组寄存器

x(CAN_FiRx)(互联产品中 i=0~27,其它产品中 i=0~13;x=1/2)

在这里插入图片描述

根据过滤器位宽和模式的不同设置,这两个寄存器的功能也不尽相同。
CAN中滤波器

CAN通信实例

目录
配置相关引脚的复用功能,使能 CAN 时钟
设置 CAN 工作模式及波特率等
设置滤波器
发送接受消息
CAN 状态获取
中断配置

我们通过 WK_UP 按键选择 CAN 的工作模式(正常模式/环回模式),然后通过 KEY0控制数据发送,并通过查询的办法,将接收到的数据显示在 LCD 模块上。
如果是环回模式,我们不需要 2 个开发板。如果是正常模式,我们就需要 2 个战舰开发板,并且将他们的 CAN 接口对接起来,然后一个开发板发送数据,另外一个开发板将接收到的数据显示在 LCD 模块上。
CAN 相关的固件库函数和定义分布在文件 stm32f10x_can.c 和头文件 stm32f10x_can.h 文件中。

配置相关引脚的复用功能,使能 CAN 时钟

第一步就要使能 CAN 的时钟。其次要设置 CAN 的相关引脚为复用输出,设置 PA11 为上拉输入(CAN_RX 引脚)PA12 为复用输出(CAN_TX 引脚),并使能 PA 口的时钟。使能 CAN1 时钟的函数是:
RCC_APB1PeriphClockCmd(RCC_APB1Periph_CAN1, ENABLE);//使能 CAN1 时钟
具体函数

RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA, ENABLE);//使能 PORTA 时钟
RCC_APB1PeriphClockCmd(RCC_APB1Periph_CAN1, ENABLE);//使能 CAN1 时钟
GPIO_InitStructure.GPIO_Pin = GPIO_Pin_12;
GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF_PP; //复用推挽
GPIO_Init(GPIOA, &GPIO_InitStructure); //初始化 IO

GPIO_InitStructure.GPIO_Pin = GPIO_Pin_11;
GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IPU; //上拉输入
GPIO_Init(GPIOA, &GPIO_InitStructure); //初始化 IO

设置 CAN 工作模式及波特率等

先设置 CAN_MCR 寄存器的 INRQ 位,让 CAN 进入初始化模式,然后设置CAN_MCR 的其他相关控制位。
再通过 CAN_BTR 设置波特率和工作模式(正常模式/环回模式)等信息。 最后设置 INRQ 为 0,退出初始化模式。
CAN 的主控制寄存器(CAN_MCR)
CAN 位时序寄存器(CAN_BTR)

在库函数中,提供了函数 CAN_Init()用来初始化 CAN 的工作模式以及波特率。
CAN_Init()函数体中,在初始化之前,会设置 CAN_MCR 寄存器的 INRQ 为 1 让其进入初始化模式,然后初始化 CAN_MCR 寄存器和 CRN_BTR 寄存器之后,会设置 CAN_MCR 寄存器的 INRQ 为 0让其退出初始化模式。
CAN_Init()函数的定义:
uint8_t CAN_Init(CAN_TypeDef* CANx, CAN_InitTypeDef* CAN_InitStruct);
一个参数就是 CAN 标号,这里我们的芯片只有一个 CAN,所以就是 CAN1。
第二个参数是 CAN 初始化结构体指针,结构体类型是 CAN_InitTypeDef,下面我们来看看这个结构体的定义:

typedef struct
{
uint16_t CAN_Prescaler;
uint8_t CAN_Mode;
uint8_t CAN_SJW;
uint8_t CAN_BS1;
uint8_t CAN_BS2;
FunctionalState CAN_TTCM;
FunctionalState CAN_ABOM;
FunctionalState CAN_AWUM;
FunctionalState CAN_NART;
FunctionalState CAN_RFLM;
FunctionalState CAN_TXFP;
} CAN_InitTypeDef;

前面 5 个参数是用来设置寄存器 CAN_BTR,用来设置模式以及波特率相关的参数。
ü CAN_Mode
○ 设置模式的参数
○ 我们实验中用到回环模式 CAN_Mode_LoopBack 和常规模式 CAN_Mode_normal,还可以选择静模式以及静回环模式测试。
其他设置波特率相关的参数 CAN_Prescaler,CAN_SJW,CAN_BS1 和 CAN_BS2 分别用来设置波特率分频器,重新同步跳跃宽度以及时间段 1 和时间段 2 占用的时间单元数。

后面 6 个成员变量用来设置寄存器 CAN_MCR,也就是设置 CAN 通信相关的控制位。
初始化实例为:

CAN_InitStructure.CAN_TTCM=disABLE; //非时间触发通信模式
CAN_InitStructure.CAN_ABOM=disABLE; //软件自动离线管理
CAN_InitStructure.CAN_AWUM=disABLE; //睡眠模式通过软件唤醒
CAN_InitStructure.CAN_NART=ENABLE; //禁止报文自动传送
CAN_InitStructure.CAN_RFLM=disABLE; //报文不锁定,新的覆盖旧的
CAN_InitStructure.CAN_TXFP=disABLE; //优先级由报文标识符决定
CAN_InitStructure.CAN_Mode= CAN_Mode_LoopBack; //模式设置: 1,回环模式;
//设置波特率
CAN_InitStructure.CAN_SJW=CAN_SJW_1tq;//重新同步跳跃宽度为个时间单位
CAN_InitStructure.CAN_BS1=CAN_BS1_8tq; //时间段 1 占用 8 个时间单位
CAN_InitStructure.CAN_BS2=CAN_BS2_7tq;//时间段 2 占用 7 个时间单位
CAN_InitStructure.CAN_Prescaler=5; //分频系数(Fdiv)
CAN_Init(CAN1, &CAN_InitStructure); // 初始化 CAN1

设置滤波器

我们将使用滤波器组 0,并工作在 32 位标识符屏蔽位模式下。
先设置 CAN_FMR的 FINIT 位,让过滤器组工作在初始化模式下,然后设置滤波器组 0 的工作模式以及标识符 ID和屏蔽位。最后激活滤波器,并退出滤波器初始化模式。
在库函数中,提供了函数 CAN_FilterInit ()用来初始化 CAN 的滤波器相关参数,CAN_Init()函数体中,在初始化之前,会设置 CAN_FMR 寄存器的 INRQ 为 INIT 让其进入初始化模式,然后初始化 CAN 滤波器相关的寄存器之后,会设置 CAN_FMR 寄存器的 FINIT 为 0 让其退出初始化模式。
CAN_FilterInit ()函数的定义:
void CAN_FilterInit(CAN_FilterInitTypeDef* CAN_FilterInitStruct);
这个函数只有一个入口参数就是 CAN 滤波器初始化结构体指针,结构体类型为CAN_FilterInitTypeDef,下面我们看看类型定义:

typedef struct
{
uint16_t CAN_FilterIdHigh;
uint16_t CAN_FilterIdLow;
uint16_t CAN_FilterMaskIdHigh;
uint16_t CAN_FilterMaskIdLow;
uint16_t CAN_FilterFIFOAssignment;
uint8_t CAN_FilterNumber;
uint8_t CAN_FilterMode;
uint8_t CAN_FilterScale;
FunctionalState CAN_Filteractivation;
} CAN_FilterInitTypeDef;

结构体一共有 9 个成员变量
第 1 个至第 4 个是用来设置过滤器的 32 位 id 以及 32 位 mask id,分别通过 2 个 16 位来组合的
ü CAN_FilterIdHigh
○ 用于存储要筛选的 ID,若筛选器工作在 32 位模式,它存储的是所筛选 ID 的高 16 位;
○ 若筛选器工作在 16 位模式,它存储的就是一个完整的要筛选的 ID。
ü CAN_FilterIdLow
○ 也是用于存储要筛选的 ID,若筛选器工作在 32 位模式,它存储的是所筛选 ID 的低 16 位;
○ 若筛选器工作在 16 位模式,它存储的就是一个完整的要筛选的 ID。
ü CAN_FilterMaskIdHigh
○ 存储的内容分两种情况,当筛选器工作在标识符列表模式时,它的功能与 CAN_FilterIdHigh 相同,都是存储要筛选的 ID;
○ 当筛选器工作在掩码模式时,它存储的是 CAN_FilterIdHigh 成员对应的掩码,与 CAN_FilterIdLow 组成一组筛选器。
ü CAN_FilterMaskIdLow
○ 存储的内容也分两种情况,当筛选器工作在标识符列表模式时,它的功能与 CAN_FilterIdLow 相同,都是存储要筛选的 ID;
○ 而当筛选器工作在掩码模式时,它存储的是 CAN_FilterIdLow 成员对应的掩码,与CAN_FilterIdLow 组成一组筛选器。

在这里插入图片描述

ü CAN_FilterFIFOAssignment 
	○ 用来设置 FIFO 和过滤器的关联关系,我们的实验是关联的过滤器 0 到 FIFO0,值为 CAN_Filter_FIFO0。
	○ 当报文通过筛选器的匹配后,该报文会被存储到哪一个接收 FIFO
ü CAN_FilterNumber
	○ 用来设置初始化的过滤器组编号,取值范围为 0~13。
ü FilterMode
	○ 用来设置过滤器组的模式,取值为标识符列表模式CAN_FilterMode_IdList 和标识符屏蔽位模式CAN_FilterMode_IdMask。
ü FilterScale
	○ 用来设置过滤器的位宽为 2 个 16 位 CAN_FilterScale_16bit 还是 1 个32 位 CAN_FilterScale_32bit。
ü CAN_Filteractivation
	○ 用来激活该过滤器。

过滤器初始化参考实例代码

CAN_FilterInitStructure.CAN_FilterNumber=0; //过滤器 0
CAN_FilterInitStructure.CAN_FilterMode=CAN_FilterMode_IdMask;
CAN_FilterInitStructure.CAN_FilterScale=CAN_FilterScale_32bit; //32 位
CAN_FilterInitStructure.CAN_FilterIdHigh=0x0000;32 位 ID
CAN_FilterInitStructure.CAN_FilterIdLow=0x0000;
CAN_FilterInitStructure.CAN_FilterMaskIdHigh=0x0000;//32 位 MASK
CAN_FilterInitStructure.CAN_FilterMaskIdLow=0x0000;
CAN_FilterInitStructure.CAN_FilterFIFOAssignment=CAN_Filter_FIFO0;// FIFO0
CAN_FilterInitStructure.CAN_Filteractivation=ENABLE; //激活过滤器 0
CAN_FilterInit(&CAN_FilterInitStructure);//滤波器初始化

如果用到中断,就还需要进行中断相关的配置,本章因为没用到中断,所以就不作介绍了。
STM32的bxCAN
CAN_FMR 寄存器

发送接受消息

在初始化 CAN 相关参数以及过滤器之后,接下来就是发送和接收消息了。库函数中提供了发送和接受消息的函数。发送消息的函数是:
uint8_t CAN_Transmit(CAN_TypeDef* CANx, CanTxmsg* TxMessage);
ü 第一个参数是 CAN 标号,我们使用 CAN1。
ü 第二个参数是相关消息结构体 CanTxmsg 指针类型,CanTxmsg 结构体的成员变量用来设置标准标识符,扩展标示符,消息类型和消息帧长度等信息。
接受消息的函数是:
void CAN_Receive(CAN_TypeDef* CANx, uint8_t FIFONumber, CanRxmsg* RxMessage);
ü 前面两个参数也比较好理解,CAN 标号和 FIFO 号。
ü 第三个参数 RxMessage 是用来存放接受到的消息信息。
ü 结构体 CanRxmsg 和结构体 CanTxmsg 比较接近,分别用来定义发送消息和描述接受消息。

发送结构体
*/
typedef struct {
    uint32_t StdId; /*存储报文的标准标识符 11 位, 范围是0-0x7FF. */ 
    uint32_t ExtId; /*存储报文的扩展标识符 29 位, 0-0x1FFFFFFF. */
			§ ExtId 与 StdId 这两个成员根据下面的 IDE 位配置,只有一个是有效的。
    uint8_t IDE; /*存储 IDE 扩展标志 */
			§ 当它的值为宏 CAN_ID_STD 时表示本报文是标准帧,使用 StdId 成员存储报文 ID;
			§ 当它的值为宏 CAN_ID_EXT 时表示本报文是扩展帧,使用 ExtId 成员存储报文 ID。
    uint8_t RTR; /*存储 RTR 远程帧标志*/
			§ 当它的值为宏 CAN_RTR_Data 时表示本报文是数据帧;
			§ 当它的值为宏 CAN_RTR_Remote 时表示本报文是遥控帧,由于遥控帧没有数据段,所以当报文是遥控帧时,下面的 Data[8]成员的内容是无效的。
   uint8_t DLC; /*存储报文数据段的长度, 0-8 */
   uint8_t Data[8]; /*存储报文数据段的内容 */
} CanTxmsg;

/**
* @brief CAN Rx message structure deFinition
* 接收结构体
*/
typedef struct {
    uint32_t StdId; /*存储了报文的标准标识符 11 位, 0-0x7FF. */
    uint32_t ExtId; /*存储了报文的扩展标识符 29 位, 0-0x1FFFFFFF. */
    uint8_t IDE; /*存储了 IDE 扩展标志 */
    uint8_t RTR; /*存储了 RTR 远程帧标志*/
    uint8_t DLC; /*存储了报文数据段的长度, 0-8 */
    uint8_t Data[8]; /*存储了报文数据段的内容 */
    uint8_t FMI; /*存储了 本报文是由经过筛选器存储进 FIFO 的, 0-0xFF */
			§ 它存储了筛选器的编号,表示本报文是经过哪个筛选器存储进接收 FIFO 的,可以用它简化软件处理。
} CanRxmsg;

CAN 状态获取

对于 CAN 发送消息的状态,挂起消息数目等等之类的传输状态信息,库函数提供了一些列的函数包括 CAN_TransmitStatus()函数,CAN_MessagePending()函数,CAN_GetFlagStatus()函数等等,大家可以根据需要来调用

CAN中断配置

如果需要的话

CAN_ITConfig(CAN1,CAN_IT_FMP0,ENABLE); //FIFO0 消息挂号中断允许.
NVIC_InitStructure.NVIC_IRQChannel = USB_LP_CAN1_RX0_IRQn;
NVIC_InitStructure.NVIC_IRQChannelPreemptionPriority = 1; // 主优先级为 1
NVIC_InitStructure.NVIC_IRQChannelSubPriority = 0; // 次优先级为 0
NVIC_InitStructure.NVIC_IRQChannelCmd = ENABLE;
NVIC_Init(&NVIC_InitStructure);

/中断服务函数
void USB_LP_CAN1_RX0_IRQHandler(void)
{
CanRxmsg RxMessage;
int i=0;
CAN_Receive(CAN1, 0, &RxMessage);
for(i=0;i<8;i++)
printf("rxbuf[%d]:%d\r\n",i,RxMessage.Data[i]);
}

编写中断服务函数

原文地址:https://www.jb51.cc/wenti/3280537.html

版权声明:本文内容由互联网用户自发贡献,该文观点与技术仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌侵权/违法违规的内容, 请发送邮件至 dio@foxmail.com 举报,一经查实,本站将立刻删除。

相关推荐