如果相同的数组被声明为不同的模块,则声明为输出 reg 且信号保存到多触发器中的数组不能共存

如何解决如果相同的数组被声明为不同的模块,则声明为输出 reg 且信号保存到多触发器中的数组不能共存

我有这个 arary(dout),它被传递到一个有 8 位同步触发器的模块中,一切正常,但是当我将 Dout 传递到另一个模块(b_mux_write)作为输出 reg [7:0] dout(我想把dout的信号改成另一个模块)就像坏了,如果我把输出reg [7:0] dout改为input[7:0] dout,一切正常,为什么?

//this module is just for declaring the clock and giving the signals 
//value to data,that are passed to the array dout,where every single element
// of dout correspond to a synchronous flip-flop
 module b_tb();
    reg clk;
    reg [7:0] data;
    wire [7:0] dout; 
    
  //Here i call the main module
    b_cpu b_cpu(
        data,dout

    );
    initial begin
        //initializing data,i know this is ugly,i'll make it more elegant in the future,i'm trying to create a processor :)
        data[0] = 1;
        data[1] = 1;
        data[2] = 1; 
        data[3] = 1; 
        data[4] = 1; 
        data[5] = 1; 
        data[6] = 1; 
        data[7] = 1; 
        
        clk = 1;

        $dumpfile("w.vcd");
        $dumpvars(0,b_tb);

    end 

always #1 clk = ~clk; //Here the clock switching from negative to positive
endmodule

    
//Main
module b_cpu(  
    //input clk,input[7:0] data,input [7:0] dout
    );

    reg clk;
    
    fflop fflop(
        clk,data,dout
    );
    
    b_mux_read b_mux_read(
        clk,dout
    );
 

    b_mux_write b_mux_write(
        clk,dout
                 
    );
 
    initial begin
        clk = 1;
    end

    always #1 clk = ~clk;
    
    initial begin
        #2;
        $display("-----------cpu main begin-------");
        $display(dout[0]);
    end
endmodule
    
    module fflop(  
        input clk,output reg[7:0] dout
        );
        
        //0;
        
        always@(clk) begin //LATCH DO1
            if(clk != 0)
                dout[0] <= data[0];
            else
                dout[0] <= 0;
           // $display(do1);
        end
         
        //1
        
        always@(clk) begin //LATCH DO1
            if(clk != 0)
                dout[1] <= data[1];
            else
                dout[1] <= 0;
           // $display(do1);
        end
    
        //2
        
        always@(clk) begin //LATCH DO1
            if(clk != 0)
                dout[2] <= data[2];
            else
                dout[2] <= 0;
           // $display(do1);
        end
    
        //3
    
        always@(clk) begin //LATCH DO1
            if(clk != 0)
                dout[3] <= data[3];
            else
                dout[3] <= 0;
           // $display(do1);
        end
    
        //4
    
        always@(clk) begin //LATCH DO1
            if(clk != 0)
                dout[4] <= data[4];
            else
                dout[4] <= 0;
           // $display(do1);
        end
    
        //5
    
        always@(clk) begin //LATCH DO1
            if(clk != 0)
                dout[5] <= data[5];
            else
                dout[5] <= 0;
           // $display(do1);
        end
    
        //6
    
        always@(clk) begin //LATCH DO1
            if(clk != 0)
                dout[6] <= data[6];
            else
                dout[6] <= 0;
           // $display(do1);
        end
    
        //7
    
        always@(clk) begin //LATCH DO1
            if(clk != 0)
                dout[7] <= data[7];
            else
                dout[7] <= 0;
           // $display(do1);
        end
    
        initial begin
           #1;
            $display("----flip-flop----");
            $display(dout[0]);
        end
    endmodule
    
//if i change output reg to input[7:0] dout the program works fine,but i need 
//the output reg,because i wan't to change the values of dout
module b_mux_write(input clk,output reg[7:0] dout);
endmodule

      
    module b_mux_read(input clk,input[7:0] dout);
    endmodule

     

解决方法

您的 dout 信号用作 b_cpu 模块的输入。经验法则是该信号只能在该模块内读取。你不应该试图给它赋值。

现在,你的 b_mux_write 模块就是这样做的,它通过输出端口分配值,这是一个 reg 类型。

因此,您从两端驱动相同的信号:tb 和 mux_write。我相信你看到的值是'x'只是因为这个。您需要更改逻辑并添加一些多路复用器以将数据移动到正确的方向。

不要在内部分配给“输入”。您可以尝试利用“inouts”,但我认为您在这里不需要它。

版权声明:本文内容由互联网用户自发贡献,该文观点与技术仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌侵权/违法违规的内容, 请发送邮件至 dio@foxmail.com 举报,一经查实,本站将立刻删除。

相关推荐


使用本地python环境可以成功执行 import pandas as pd import matplotlib.pyplot as plt # 设置字体 plt.rcParams[&#39;font.sans-serif&#39;] = [&#39;SimHei&#39;] # 能正确显示负号 p
错误1:Request method ‘DELETE‘ not supported 错误还原:controller层有一个接口,访问该接口时报错:Request method ‘DELETE‘ not supported 错误原因:没有接收到前端传入的参数,修改为如下 参考 错误2:cannot r
错误1:启动docker镜像时报错:Error response from daemon: driver failed programming external connectivity on endpoint quirky_allen 解决方法:重启docker -&gt; systemctl r
错误1:private field ‘xxx‘ is never assigned 按Altʾnter快捷键,选择第2项 参考:https://blog.csdn.net/shi_hong_fei_hei/article/details/88814070 错误2:启动时报错,不能找到主启动类 #
报错如下,通过源不能下载,最后警告pip需升级版本 Requirement already satisfied: pip in c:\users\ychen\appdata\local\programs\python\python310\lib\site-packages (22.0.4) Coll
错误1:maven打包报错 错误还原:使用maven打包项目时报错如下 [ERROR] Failed to execute goal org.apache.maven.plugins:maven-resources-plugin:3.2.0:resources (default-resources)
错误1:服务调用时报错 服务消费者模块assess通过openFeign调用服务提供者模块hires 如下为服务提供者模块hires的控制层接口 @RestController @RequestMapping(&quot;/hires&quot;) public class FeignControl
错误1:运行项目后报如下错误 解决方案 报错2:Failed to execute goal org.apache.maven.plugins:maven-compiler-plugin:3.8.1:compile (default-compile) on project sb 解决方案:在pom.
参考 错误原因 过滤器或拦截器在生效时,redisTemplate还没有注入 解决方案:在注入容器时就生效 @Component //项目运行时就注入Spring容器 public class RedisBean { @Resource private RedisTemplate&lt;String
使用vite构建项目报错 C:\Users\ychen\work&gt;npm init @vitejs/app @vitejs/create-app is deprecated, use npm init vite instead C:\Users\ychen\AppData\Local\npm-
参考1 参考2 解决方案 # 点击安装源 协议选择 http:// 路径填写 mirrors.aliyun.com/centos/8.3.2011/BaseOS/x86_64/os URL类型 软件库URL 其他路径 # 版本 7 mirrors.aliyun.com/centos/7/os/x86
报错1 [root@slave1 data_mocker]# kafka-console-consumer.sh --bootstrap-server slave1:9092 --topic topic_db [2023-12-19 18:31:12,770] WARN [Consumer clie
错误1 # 重写数据 hive (edu)&gt; insert overwrite table dwd_trade_cart_add_inc &gt; select data.id, &gt; data.user_id, &gt; data.course_id, &gt; date_format(
错误1 hive (edu)&gt; insert into huanhuan values(1,&#39;haoge&#39;); Query ID = root_20240110071417_fe1517ad-3607-41f4-bdcf-d00b98ac443e Total jobs = 1
报错1:执行到如下就不执行了,没有显示Successfully registered new MBean. [root@slave1 bin]# /usr/local/software/flume-1.9.0/bin/flume-ng agent -n a1 -c /usr/local/softwa
虚拟及没有启动任何服务器查看jps会显示jps,如果没有显示任何东西 [root@slave2 ~]# jps 9647 Jps 解决方案 # 进入/tmp查看 [root@slave1 dfs]# cd /tmp [root@slave1 tmp]# ll 总用量 48 drwxr-xr-x. 2
报错1 hive&gt; show databases; OK Failed with exception java.io.IOException:java.lang.RuntimeException: Error in configuring object Time taken: 0.474 se
报错1 [root@localhost ~]# vim -bash: vim: 未找到命令 安装vim yum -y install vim* # 查看是否安装成功 [root@hadoop01 hadoop]# rpm -qa |grep vim vim-X11-7.4.629-8.el7_9.x
修改hadoop配置 vi /usr/local/software/hadoop-2.9.2/etc/hadoop/yarn-site.xml # 添加如下 &lt;configuration&gt; &lt;property&gt; &lt;name&gt;yarn.nodemanager.res