如果为静态条件 vhdl

如何解决如果为静态条件 vhdl

我正在用 VHDL 构建神经网络。我在这个项目中有一个简单的问题。首先,我必须使用 for 语句和 if 语句,但我必须将它们与“通用”语法一起使用。我不能使用 for 循环或者 if then 因为端口映射不能在进程中使用。所以我决定对这两种语法都使用通用语句。

    library IEEE;
use IEEE.STD_LOGIC_1164.ALL;



entity mainProje is
    Port ( inputLayer           : in   std_logic_vector (24 downto 0);
           outputLayer          : in   std_logic_vector (3 downto 0));
end mainProje;


architecture Behavioral of mainProje is
    
component addsub32 is
  Port ( addsub_a : in std_logic_vector(31 downto 0);
         addsub_b : in std_logic_vector(31 downto 0);
         addsub_res : out std_logic_vector(31 downto 0) 
  );
end component;

component fp_mulp is
  Port (    multiple_a           : in   std_logic_vector (31 downto 0);
            multiple_b           : in   std_logic_vector (31 downto 0);
            multiple_res : out  std_logic_vector (31 downto 0));
end component;

    signal inputL : std_logic_vector (24 downto 0)  :=(others=>'0');
    
    
    
    signal mulp_out : std_logic_vector (31 downto 0)  :=(others=>'0');
    signal mulp_a : std_logic_vector (31 downto 0)  :=(others=>'0');
    signal mulp_b: std_logic_vector (31 downto 0)  :=(others=>'0');
    
    signal adder_out: std_logic_vector (31 downto 0)  :=(others=>'0');
    signal adder_a: std_logic_vector (31 downto 0)  :=(others=>'0');
    signal adder_b: std_logic_vector (31 downto 0)  :=(others=>'0');
    
    signal sumBuffer : std_logic_vector(31 downto 0):=(others=>'0');

    type inWeights is array(1 to 10,1 to 25) of std_logic_vector(31 downto 0);
    type outWeights is array(1 to 4,1 to 10) of std_logic_vector(31 downto 0);
    
    type inLayerNode is array(1 to 25) of std_logic_vector(31 downto 0);

    signal iWeights : inWeights;
    signal oWeights : outWeights;
    
    signal inLayerN: inLayerNode;
    
begin


    --input Weights
    iWeights(1,1) <=  "00111101001110111011101100011010";-- 0.0458327319962061
    iWeights(1,2) <=  "00111110110001000100100100110001";-- 0.383370910990698
    iWeights(1,3) <=  "10111111001101000111100011110001";-- -0.704970429655861
    iWeights(1,4) <=  "10111110101110001011111001010111";-- -0.360827181637624
    iWeights(1,5) <=  "00111111000010110010100001011101";-- 0.543584647799883
    iWeights(1,6) <=  "10111110010001111101111111110010";-- -0.195190222049452
    iWeights(1,7) <=  "10111110101011000100111110001101";-- -0.336544423789263
    iWeights(1,8) <=  "10111101111010011100101111101000";-- -0.114158454028173
    iWeights(1,9) <=  "00111111000101111111000101000001";-- 0.593525018028288
    iWeights(1,10) <=  "10111110101110100101001010001011";-- -0.36391100592217

    
    
    
    inputL <= inputLayer;

    nodeCalculation: for i in 0 to 9 generate--1 varmı yok mu 1 varsa işlem yapıcaz
        checkOne: if (inputLayer(i) = '1') generate
                    
            mulp_a <= "00111111100000000000000000000000";--
            mulp_b <= iWeights(1,i+1);      
        
            p1: fp_mulp port map(multiple_a => mulp_a,multiple_b => mulp_b,multiple_res => mulp_out);--degeri aldıktan sonra toplama eklenmesi gerek
                    
            adder_a <= mulp_out;
            adder_b <= sumBuffer;
            p2: addsub32 port map(addsub_a => adder_a,addsub_b => adder_b,addsub_res => adder_out);
                    
            sumBuffer <= adder_out;
            end generate checkOne;
            
            
        end generate nodeCalculation;
    

end Behavioral;

我怎样才能克服这个问题?任何建议都可以帮助我。

版权声明:本文内容由互联网用户自发贡献,该文观点与技术仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌侵权/违法违规的内容, 请发送邮件至 dio@foxmail.com 举报,一经查实,本站将立刻删除。

相关推荐


使用本地python环境可以成功执行 import pandas as pd import matplotlib.pyplot as plt # 设置字体 plt.rcParams[&#39;font.sans-serif&#39;] = [&#39;SimHei&#39;] # 能正确显示负号 p
错误1:Request method ‘DELETE‘ not supported 错误还原:controller层有一个接口,访问该接口时报错:Request method ‘DELETE‘ not supported 错误原因:没有接收到前端传入的参数,修改为如下 参考 错误2:cannot r
错误1:启动docker镜像时报错:Error response from daemon: driver failed programming external connectivity on endpoint quirky_allen 解决方法:重启docker -&gt; systemctl r
错误1:private field ‘xxx‘ is never assigned 按Altʾnter快捷键,选择第2项 参考:https://blog.csdn.net/shi_hong_fei_hei/article/details/88814070 错误2:启动时报错,不能找到主启动类 #
报错如下,通过源不能下载,最后警告pip需升级版本 Requirement already satisfied: pip in c:\users\ychen\appdata\local\programs\python\python310\lib\site-packages (22.0.4) Coll
错误1:maven打包报错 错误还原:使用maven打包项目时报错如下 [ERROR] Failed to execute goal org.apache.maven.plugins:maven-resources-plugin:3.2.0:resources (default-resources)
错误1:服务调用时报错 服务消费者模块assess通过openFeign调用服务提供者模块hires 如下为服务提供者模块hires的控制层接口 @RestController @RequestMapping(&quot;/hires&quot;) public class FeignControl
错误1:运行项目后报如下错误 解决方案 报错2:Failed to execute goal org.apache.maven.plugins:maven-compiler-plugin:3.8.1:compile (default-compile) on project sb 解决方案:在pom.
参考 错误原因 过滤器或拦截器在生效时,redisTemplate还没有注入 解决方案:在注入容器时就生效 @Component //项目运行时就注入Spring容器 public class RedisBean { @Resource private RedisTemplate&lt;String
使用vite构建项目报错 C:\Users\ychen\work&gt;npm init @vitejs/app @vitejs/create-app is deprecated, use npm init vite instead C:\Users\ychen\AppData\Local\npm-
参考1 参考2 解决方案 # 点击安装源 协议选择 http:// 路径填写 mirrors.aliyun.com/centos/8.3.2011/BaseOS/x86_64/os URL类型 软件库URL 其他路径 # 版本 7 mirrors.aliyun.com/centos/7/os/x86
报错1 [root@slave1 data_mocker]# kafka-console-consumer.sh --bootstrap-server slave1:9092 --topic topic_db [2023-12-19 18:31:12,770] WARN [Consumer clie
错误1 # 重写数据 hive (edu)&gt; insert overwrite table dwd_trade_cart_add_inc &gt; select data.id, &gt; data.user_id, &gt; data.course_id, &gt; date_format(
错误1 hive (edu)&gt; insert into huanhuan values(1,&#39;haoge&#39;); Query ID = root_20240110071417_fe1517ad-3607-41f4-bdcf-d00b98ac443e Total jobs = 1
报错1:执行到如下就不执行了,没有显示Successfully registered new MBean. [root@slave1 bin]# /usr/local/software/flume-1.9.0/bin/flume-ng agent -n a1 -c /usr/local/softwa
虚拟及没有启动任何服务器查看jps会显示jps,如果没有显示任何东西 [root@slave2 ~]# jps 9647 Jps 解决方案 # 进入/tmp查看 [root@slave1 dfs]# cd /tmp [root@slave1 tmp]# ll 总用量 48 drwxr-xr-x. 2
报错1 hive&gt; show databases; OK Failed with exception java.io.IOException:java.lang.RuntimeException: Error in configuring object Time taken: 0.474 se
报错1 [root@localhost ~]# vim -bash: vim: 未找到命令 安装vim yum -y install vim* # 查看是否安装成功 [root@hadoop01 hadoop]# rpm -qa |grep vim vim-X11-7.4.629-8.el7_9.x
修改hadoop配置 vi /usr/local/software/hadoop-2.9.2/etc/hadoop/yarn-site.xml # 添加如下 &lt;configuration&gt; &lt;property&gt; &lt;name&gt;yarn.nodemanager.res