Isim 仿真中未显示信号

如何解决Isim 仿真中未显示信号

我正在编写一个 vhdl 代码,它应该可以完成许多功能。我的代码和我的测试平台都运行良好。但在模拟中什么都没有初始化。我真的不明白为什么以及我的错误到底在哪里。如果有人帮助我解决我的问题,我将不胜感激。 “当启用为'1'并且在上升沿时钟中时代码应该工作。并且使用不同的S值它应该做不同的事情。

我的模拟错误是:

ERROR: at 0 ps: Delay 20000 fs 不大于先前分配给目标信号 a 的波形元素延迟 20000 fs 错误:正在处理 MyProject_tb.vhd:34

我的代码是:

LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE IEEE.NUMERIC_STD.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY alu_test IS
END alu_test;

    ARCHITECTURE test of alu_test IS
       COMPONENT ALU IS
          port(
          A : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
          B : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
          enable : IN STD_LOGIC;
          clk : IN STD_LOGIC;
          s : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
          carry : OUT STD_LOGIC;
          c : INOUT STD_LOGIC_VECTOR(3 DOWNTO 0)   
       );
       END COMPONENT;
    
    SIGNAL a : STD_LOGIC_VECTOR(3 downto 0);
    SIGNAL b : STD_LOGIC_VECTOR(3 downto 0);
    SIGNAL c : STD_LOGIC_VECTOR(3 downto 0);
    SIGNAL s : STD_LOGIC_VECTOR(1 downto 0) := "00";
    SIGNAL en : STD_LOGIC := '1';
    SIGNAL cl : STD_LOGIC := '1';
    SIGNAL car : STD_LOGIC;
    
    BEGIN
       uut : ALU PORT MAP(a,b,en,cl,s,car,c);
    en <= '1';
    cl <= not cl AFTER 20 NS;
    a <= "0001","1101" AFTER 20 NS,"1110" AFTER 20 NS;
    b <= "1101","0101" AFTER 20 NS,"1111" AFTER 20 NS;
    s <= "01","00" AFTER 20 NS,"10" AFTER 20 NS;
    
    END test;

还有我的测试台:

Error in names(filedatatypelist_DHS) <- paste0("filedatatypelist_",qdapRegex::rm_between(filedatatypelist_DHS_line,:  'names' attribute [1] must be the same length as the vector [0]

以及我的模拟的样子: enter image description here

解决方法

线条

 a <= "0001","1101" AFTER 20 NS,"1110" AFTER 20 NS;
 b <= "1101","0101" AFTER 20 NS,"1111" AFTER 20 NS;
 s <= "01","00" AFTER 20 NS,"10" AFTER 20 NS;

不要做你最有可能期望他们做的事情。

对于信号 a,您很可能需要“0001”、“1101”和“1110”的序列,其间有 20 ns。否则(如错误消息所示),您将同时将“1101”和“1110”分配给 a(在 20 ns 之后),这是不可能的。因此,将您的行重新格式化为:

a <= "0001","1110" AFTER 40 NS;

不过,我更喜欢使用 wait 语句(在进程内)来摆动刺激信号,例如:

process
begin
    a <= "0001";
    wait for 20 ns;
    a <= "1101";
    -- and so on...

在此之后,您的设计 (ALU) 中会出现一些错误,但修复它们将是另一个问题...

版权声明:本文内容由互联网用户自发贡献,该文观点与技术仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌侵权/违法违规的内容, 请发送邮件至 dio@foxmail.com 举报,一经查实,本站将立刻删除。

相关推荐


使用本地python环境可以成功执行 import pandas as pd import matplotlib.pyplot as plt # 设置字体 plt.rcParams[&#39;font.sans-serif&#39;] = [&#39;SimHei&#39;] # 能正确显示负号 p
错误1:Request method ‘DELETE‘ not supported 错误还原:controller层有一个接口,访问该接口时报错:Request method ‘DELETE‘ not supported 错误原因:没有接收到前端传入的参数,修改为如下 参考 错误2:cannot r
错误1:启动docker镜像时报错:Error response from daemon: driver failed programming external connectivity on endpoint quirky_allen 解决方法:重启docker -&gt; systemctl r
错误1:private field ‘xxx‘ is never assigned 按Altʾnter快捷键,选择第2项 参考:https://blog.csdn.net/shi_hong_fei_hei/article/details/88814070 错误2:启动时报错,不能找到主启动类 #
报错如下,通过源不能下载,最后警告pip需升级版本 Requirement already satisfied: pip in c:\users\ychen\appdata\local\programs\python\python310\lib\site-packages (22.0.4) Coll
错误1:maven打包报错 错误还原:使用maven打包项目时报错如下 [ERROR] Failed to execute goal org.apache.maven.plugins:maven-resources-plugin:3.2.0:resources (default-resources)
错误1:服务调用时报错 服务消费者模块assess通过openFeign调用服务提供者模块hires 如下为服务提供者模块hires的控制层接口 @RestController @RequestMapping(&quot;/hires&quot;) public class FeignControl
错误1:运行项目后报如下错误 解决方案 报错2:Failed to execute goal org.apache.maven.plugins:maven-compiler-plugin:3.8.1:compile (default-compile) on project sb 解决方案:在pom.
参考 错误原因 过滤器或拦截器在生效时,redisTemplate还没有注入 解决方案:在注入容器时就生效 @Component //项目运行时就注入Spring容器 public class RedisBean { @Resource private RedisTemplate&lt;String
使用vite构建项目报错 C:\Users\ychen\work&gt;npm init @vitejs/app @vitejs/create-app is deprecated, use npm init vite instead C:\Users\ychen\AppData\Local\npm-
参考1 参考2 解决方案 # 点击安装源 协议选择 http:// 路径填写 mirrors.aliyun.com/centos/8.3.2011/BaseOS/x86_64/os URL类型 软件库URL 其他路径 # 版本 7 mirrors.aliyun.com/centos/7/os/x86
报错1 [root@slave1 data_mocker]# kafka-console-consumer.sh --bootstrap-server slave1:9092 --topic topic_db [2023-12-19 18:31:12,770] WARN [Consumer clie
错误1 # 重写数据 hive (edu)&gt; insert overwrite table dwd_trade_cart_add_inc &gt; select data.id, &gt; data.user_id, &gt; data.course_id, &gt; date_format(
错误1 hive (edu)&gt; insert into huanhuan values(1,&#39;haoge&#39;); Query ID = root_20240110071417_fe1517ad-3607-41f4-bdcf-d00b98ac443e Total jobs = 1
报错1:执行到如下就不执行了,没有显示Successfully registered new MBean. [root@slave1 bin]# /usr/local/software/flume-1.9.0/bin/flume-ng agent -n a1 -c /usr/local/softwa
虚拟及没有启动任何服务器查看jps会显示jps,如果没有显示任何东西 [root@slave2 ~]# jps 9647 Jps 解决方案 # 进入/tmp查看 [root@slave1 dfs]# cd /tmp [root@slave1 tmp]# ll 总用量 48 drwxr-xr-x. 2
报错1 hive&gt; show databases; OK Failed with exception java.io.IOException:java.lang.RuntimeException: Error in configuring object Time taken: 0.474 se
报错1 [root@localhost ~]# vim -bash: vim: 未找到命令 安装vim yum -y install vim* # 查看是否安装成功 [root@hadoop01 hadoop]# rpm -qa |grep vim vim-X11-7.4.629-8.el7_9.x
修改hadoop配置 vi /usr/local/software/hadoop-2.9.2/etc/hadoop/yarn-site.xml # 添加如下 &lt;configuration&gt; &lt;property&gt; &lt;name&gt;yarn.nodemanager.res