如何在 Verilog 中的 2D Net 中分配一行?

如何解决如何在 Verilog 中的 2D Net 中分配一行?

我有一条 2D 线,我试图将其中的一排分配给相同长度的临时线。出于某种原因,在模拟中,临时线不采用这些值。

generate
    for(i=16;i<64;i=i+1) begin
    assign temp[31:0] = w[i-15];
    assign s0 = {temp[6:0],temp[31:7]} ^ {temp[17:0],temp[31:18]} ^ {temp[2:0],temp[31:3]};
    assign s1 = {temp[16:0],temp[31:17]} ^ {temp[18:0],temp[31:19]} ^ {temp[9:0],temp[31:10]};
    assign out[i] = w[i-16] + s0 + w[i-7] + s1;
    end
endgenerate

这里,变量 'temp' 预计每次都取一行变量 'w' 的值,但是在模拟过程中,'temp' 总是有 32 'hzzzzz ... 值。

这是完整的代码

module message_scheduler(chunk_512,out);
input [31:0]chunk_512[15:0];
output [31:0]out[63:0];
wire[31:0]w[63:0];
wire[31:0]temp,s0,s1;
genvar i;
generate
    for(i=0;i<16;i=i+1) begin
        assign w[i] = chunk_512[i];
    end
    for(i=16;i<64;i=i+1) begin
        assign w[i] = 32'b0;
    end
endgenerate
generate
    for(i=16;i<64;i=i+1) begin
    assign temp[31:0] = w[i-15];
    assign s0 = {temp[6:0],temp[31:10]};
    assign out[i] = w[i-16] + s0 + w[i-7] + s1;
    end
endgenerate   
endmodule

有人可以帮我找出我哪里出错了吗?

这些是我用来提供输入的命令

force -freeze {sim:/message_scheduler/chunk_512[1]} {32'b01101111001000000111011101101111}
    force -freeze {sim:/message_scheduler/chunk_512[2]} {32'b01110010011011000110010010000000}
    force -freeze {sim:/message_scheduler/chunk_512[0]} {32'b01101000011001010110110001101100}
    force -freeze {sim:/message_scheduler/chunk_512[3]} 32'b00000000000000000000000000000000
    force -freeze {sim:/message_scheduler/chunk_512[4]} 32'b00000000000000000000000000000000
    force -freeze {sim:/message_scheduler/chunk_512[5]} 32'b00000000000000000000000000000000
    force -freeze {sim:/message_scheduler/chunk_512[6]} 32'b00000000000000000000000000000000
    force -freeze {sim:/message_scheduler/chunk_512[7]} 32'b00000000000000000000000000000000
    force -freeze {sim:/message_scheduler/chunk_512[8]} 32'b00000000000000000000000000000000
    force -freeze {sim:/message_scheduler/chunk_512[9]} 32'b00000000000000000000000000000000
    force -freeze {sim:/message_scheduler/chunk_512[10]} 32'b00000000000000000000000000000000
    force -freeze {sim:/message_scheduler/chunk_512[11]} 32'b00000000000000000000000000000000
    force -freeze {sim:/message_scheduler/chunk_512[12]} 32'b00000000000000000000000000000000
    force -freeze {sim:/message_scheduler/chunk_512[13]} 32'b00000000000000000000000000000000
    force -freeze {sim:/message_scheduler/chunk_512[14]} 32'b00000000000000000000000000000000
    force -freeze {sim:/message_scheduler/chunk_512[15]} 32'b00000000000000000000000001011000

解决方法

我在编译您的模块时收到警告:

    assign temp[31:0] = chunk_512[i-15];
                                |
xmelab: *W,BNDMEM : Memory index out of declared bounds.

您声明 chunk_512 有 16 个位置 (0-15),但您试图访问位置 16 及以上。这就是 temp 没有已知值的原因。

比如当for循环到达i=31时,31-15=16,那么[16]就出界了。


此外,2D 端口要求您在模拟器中启用 SystemVerilog 功能。

版权声明:本文内容由互联网用户自发贡献,该文观点与技术仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌侵权/违法违规的内容, 请发送邮件至 dio@foxmail.com 举报,一经查实,本站将立刻删除。

相关推荐


使用本地python环境可以成功执行 import pandas as pd import matplotlib.pyplot as plt # 设置字体 plt.rcParams[&#39;font.sans-serif&#39;] = [&#39;SimHei&#39;] # 能正确显示负号 p
错误1:Request method ‘DELETE‘ not supported 错误还原:controller层有一个接口,访问该接口时报错:Request method ‘DELETE‘ not supported 错误原因:没有接收到前端传入的参数,修改为如下 参考 错误2:cannot r
错误1:启动docker镜像时报错:Error response from daemon: driver failed programming external connectivity on endpoint quirky_allen 解决方法:重启docker -&gt; systemctl r
错误1:private field ‘xxx‘ is never assigned 按Altʾnter快捷键,选择第2项 参考:https://blog.csdn.net/shi_hong_fei_hei/article/details/88814070 错误2:启动时报错,不能找到主启动类 #
报错如下,通过源不能下载,最后警告pip需升级版本 Requirement already satisfied: pip in c:\users\ychen\appdata\local\programs\python\python310\lib\site-packages (22.0.4) Coll
错误1:maven打包报错 错误还原:使用maven打包项目时报错如下 [ERROR] Failed to execute goal org.apache.maven.plugins:maven-resources-plugin:3.2.0:resources (default-resources)
错误1:服务调用时报错 服务消费者模块assess通过openFeign调用服务提供者模块hires 如下为服务提供者模块hires的控制层接口 @RestController @RequestMapping(&quot;/hires&quot;) public class FeignControl
错误1:运行项目后报如下错误 解决方案 报错2:Failed to execute goal org.apache.maven.plugins:maven-compiler-plugin:3.8.1:compile (default-compile) on project sb 解决方案:在pom.
参考 错误原因 过滤器或拦截器在生效时,redisTemplate还没有注入 解决方案:在注入容器时就生效 @Component //项目运行时就注入Spring容器 public class RedisBean { @Resource private RedisTemplate&lt;String
使用vite构建项目报错 C:\Users\ychen\work&gt;npm init @vitejs/app @vitejs/create-app is deprecated, use npm init vite instead C:\Users\ychen\AppData\Local\npm-
参考1 参考2 解决方案 # 点击安装源 协议选择 http:// 路径填写 mirrors.aliyun.com/centos/8.3.2011/BaseOS/x86_64/os URL类型 软件库URL 其他路径 # 版本 7 mirrors.aliyun.com/centos/7/os/x86
报错1 [root@slave1 data_mocker]# kafka-console-consumer.sh --bootstrap-server slave1:9092 --topic topic_db [2023-12-19 18:31:12,770] WARN [Consumer clie
错误1 # 重写数据 hive (edu)&gt; insert overwrite table dwd_trade_cart_add_inc &gt; select data.id, &gt; data.user_id, &gt; data.course_id, &gt; date_format(
错误1 hive (edu)&gt; insert into huanhuan values(1,&#39;haoge&#39;); Query ID = root_20240110071417_fe1517ad-3607-41f4-bdcf-d00b98ac443e Total jobs = 1
报错1:执行到如下就不执行了,没有显示Successfully registered new MBean. [root@slave1 bin]# /usr/local/software/flume-1.9.0/bin/flume-ng agent -n a1 -c /usr/local/softwa
虚拟及没有启动任何服务器查看jps会显示jps,如果没有显示任何东西 [root@slave2 ~]# jps 9647 Jps 解决方案 # 进入/tmp查看 [root@slave1 dfs]# cd /tmp [root@slave1 tmp]# ll 总用量 48 drwxr-xr-x. 2
报错1 hive&gt; show databases; OK Failed with exception java.io.IOException:java.lang.RuntimeException: Error in configuring object Time taken: 0.474 se
报错1 [root@localhost ~]# vim -bash: vim: 未找到命令 安装vim yum -y install vim* # 查看是否安装成功 [root@hadoop01 hadoop]# rpm -qa |grep vim vim-X11-7.4.629-8.el7_9.x
修改hadoop配置 vi /usr/local/software/hadoop-2.9.2/etc/hadoop/yarn-site.xml # 添加如下 &lt;configuration&gt; &lt;property&gt; &lt;name&gt;yarn.nodemanager.res