如何向输入序列 VHDL 添加奇偶校验位

如何解决如何向输入序列 VHDL 添加奇偶校验位

我正在 VHDL 上为给定的输入位序列 (10100110) 制作 FSM Moore 序列检测器,但现在我还想向输入位序列添加偶校验位作为新序列。我知道使用异或门的逻辑,但我无法在代码中实现它。

这是我的设计代码:

library IEEE;
use IEEE.std_logic_1164.all;

entity sequence_detector is
    port(clock: in std_logic;
        input_seq: in std_logic;
        detector: out std_logic);   
end sequence_detector;

architecture behaviour of sequence_detector is
    type state is (init,s1,s2,s3,s4);
    signal p_s,n_s : state;
    begin

        process
        begin 
            wait until clock'event and clock = '1';
                p_s <= n_s;
        end process;
        
        process (input_seq,p_s)
        begin
       
                case(p_s) is
                  when init =>
                      if(input_seq = '1') then
                          n_s <= s1;
                          detector <= '0';
                      else
                          n_s <= init;
                          detector <= '0';
                      end if;
                  when s1 =>
                      if(input_seq = '0') then
                          n_s <= s2;
                          detector <= '0';
                      else
                          n_s <= s1;
                          detector <= '0';
                      end if;
                  when s2 =>
                      if(input_seq = '0') then
                          n_s <= s3;
                          detector <= '0';
                      else
                          n_s <= s1;
                          detector <= '0';
                      end if;
                  when s3 =>
                      if(input_seq = '1') then
                          n_s <= s4;
                          detector <= '0';
                      else
                          n_s <= init;
                          detector <= '0';
                      end if;
                  when s4 =>      --here we decide if its overlapping or not
                      if(input_seq = '1') then
                          n_s <= s1;
                          detector <= '1';
                      else
                          n_s <= s2;
                          detector <= '0';
                      end if;
              end case;
        end process; 
end behaviour;

这是我的测试平台:

library IEEE;
use IEEE.std_logic_1164.all;

entity testbench is
end testbench;

architecture behaviour of testbench is
    component sequence_detector is
    port(clock: in std_logic;
        input_seq: in std_logic;
        detector: out std_logic);
    end component;

    signal clock,input_seq : std_logic;
    signal detector : std_logic;

    constant clock_period: Time := 10 ns;
    begin
        DUT: sequence_detector port map(clock,input_seq,detector);
        p_clock: process
        begin
            clock <= '0';
            wait for clock_period/2;
            clock <= '1';
            wait for clock_period/2;
        end process;

        process
        begin
            input_seq <= '1';
            wait for 10 ns;
            input_seq <= '0';
            wait for 10 ns;
            input_seq <= '1';
            wait for 20 ns;
            input_seq <= '0';
            wait for 20 ns;
            input_seq <= '1';
            wait for 20 ns;
            input_seq <= '0';

            wait;
        end process;    
end behaviour;

这是输出: output graph

版权声明:本文内容由互联网用户自发贡献,该文观点与技术仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌侵权/违法违规的内容, 请发送邮件至 dio@foxmail.com 举报,一经查实,本站将立刻删除。

相关推荐


使用本地python环境可以成功执行 import pandas as pd import matplotlib.pyplot as plt # 设置字体 plt.rcParams[&#39;font.sans-serif&#39;] = [&#39;SimHei&#39;] # 能正确显示负号 p
错误1:Request method ‘DELETE‘ not supported 错误还原:controller层有一个接口,访问该接口时报错:Request method ‘DELETE‘ not supported 错误原因:没有接收到前端传入的参数,修改为如下 参考 错误2:cannot r
错误1:启动docker镜像时报错:Error response from daemon: driver failed programming external connectivity on endpoint quirky_allen 解决方法:重启docker -&gt; systemctl r
错误1:private field ‘xxx‘ is never assigned 按Altʾnter快捷键,选择第2项 参考:https://blog.csdn.net/shi_hong_fei_hei/article/details/88814070 错误2:启动时报错,不能找到主启动类 #
报错如下,通过源不能下载,最后警告pip需升级版本 Requirement already satisfied: pip in c:\users\ychen\appdata\local\programs\python\python310\lib\site-packages (22.0.4) Coll
错误1:maven打包报错 错误还原:使用maven打包项目时报错如下 [ERROR] Failed to execute goal org.apache.maven.plugins:maven-resources-plugin:3.2.0:resources (default-resources)
错误1:服务调用时报错 服务消费者模块assess通过openFeign调用服务提供者模块hires 如下为服务提供者模块hires的控制层接口 @RestController @RequestMapping(&quot;/hires&quot;) public class FeignControl
错误1:运行项目后报如下错误 解决方案 报错2:Failed to execute goal org.apache.maven.plugins:maven-compiler-plugin:3.8.1:compile (default-compile) on project sb 解决方案:在pom.
参考 错误原因 过滤器或拦截器在生效时,redisTemplate还没有注入 解决方案:在注入容器时就生效 @Component //项目运行时就注入Spring容器 public class RedisBean { @Resource private RedisTemplate&lt;String
使用vite构建项目报错 C:\Users\ychen\work&gt;npm init @vitejs/app @vitejs/create-app is deprecated, use npm init vite instead C:\Users\ychen\AppData\Local\npm-
参考1 参考2 解决方案 # 点击安装源 协议选择 http:// 路径填写 mirrors.aliyun.com/centos/8.3.2011/BaseOS/x86_64/os URL类型 软件库URL 其他路径 # 版本 7 mirrors.aliyun.com/centos/7/os/x86
报错1 [root@slave1 data_mocker]# kafka-console-consumer.sh --bootstrap-server slave1:9092 --topic topic_db [2023-12-19 18:31:12,770] WARN [Consumer clie
错误1 # 重写数据 hive (edu)&gt; insert overwrite table dwd_trade_cart_add_inc &gt; select data.id, &gt; data.user_id, &gt; data.course_id, &gt; date_format(
错误1 hive (edu)&gt; insert into huanhuan values(1,&#39;haoge&#39;); Query ID = root_20240110071417_fe1517ad-3607-41f4-bdcf-d00b98ac443e Total jobs = 1
报错1:执行到如下就不执行了,没有显示Successfully registered new MBean. [root@slave1 bin]# /usr/local/software/flume-1.9.0/bin/flume-ng agent -n a1 -c /usr/local/softwa
虚拟及没有启动任何服务器查看jps会显示jps,如果没有显示任何东西 [root@slave2 ~]# jps 9647 Jps 解决方案 # 进入/tmp查看 [root@slave1 dfs]# cd /tmp [root@slave1 tmp]# ll 总用量 48 drwxr-xr-x. 2
报错1 hive&gt; show databases; OK Failed with exception java.io.IOException:java.lang.RuntimeException: Error in configuring object Time taken: 0.474 se
报错1 [root@localhost ~]# vim -bash: vim: 未找到命令 安装vim yum -y install vim* # 查看是否安装成功 [root@hadoop01 hadoop]# rpm -qa |grep vim vim-X11-7.4.629-8.el7_9.x
修改hadoop配置 vi /usr/local/software/hadoop-2.9.2/etc/hadoop/yarn-site.xml # 添加如下 &lt;configuration&gt; &lt;property&gt; &lt;name&gt;yarn.nodemanager.res