将 12 个向量加在一起只是在模拟中未定义所有输入和输出

如何解决将 12 个向量加在一起只是在模拟中未定义所有输入和输出

我正在尝试将来自 12 个不同部分 (A-L) 的出席人数相加,以获得总体出席人数。每个部分的输入是一个 9 位向量,所有这些向量相加形成一个 14 位向量。然而,当我尝试实现一个测试平台时,我将所有输入和输出都定义为未定义,并且没有任何波形。任何指导将不胜感激!

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.all;

entity overall_attendance_data is
  Port (OUTPUTDATA_A    : in STD_LOGIC_VECTOR(8 downto 0);
        OUTPUTDATA_B    : in STD_LOGIC_VECTOR(8 downto 0);
        OUTPUTDATA_C    : in STD_LOGIC_VECTOR(8 downto 0);
        OUTPUTDATA_D    : in STD_LOGIC_VECTOR(8 downto 0);
        OUTPUTDATA_E    : in STD_LOGIC_VECTOR(8 downto 0);
        OUTPUTDATA_F    : in STD_LOGIC_VECTOR(8 downto 0);
        OUTPUTDATA_G    : in STD_LOGIC_VECTOR(8 downto 0);
        OUTPUTDATA_H    : in STD_LOGIC_VECTOR(8 downto 0);
        OUTPUTDATA_I    : in STD_LOGIC_VECTOR(8 downto 0);
        OUTPUTDATA_J    : in STD_LOGIC_VECTOR(8 downto 0);
        OUTPUTDATA_K    : in STD_LOGIC_VECTOR(8 downto 0);
        OUTPUTDATA_L    : in STD_LOGIC_VECTOR(8 downto 0);
        OVERALL_ATTENDANCE_DATA_OUT : out STD_LOGIC_VECTOR(13 downto 0));
end overall_attendance_data;

architecture Behavioral of overall_attendance_data is
    signal OVERALL_ATTENDANCE : unsigned(13 downto 0); 
begin
    -- '0' & prevents overflow
    OVERALL_ATTENDANCE <= ('0' & unsigned(OUTPUTDATA_A)) + ('0' & unsigned(OUTPUTDATA_B)) + ('0' & unsigned(OUTPUTDATA_C)) + ('0' & unsigned(OUTPUTDATA_D)) + ('0' & unsigned(OUTPUTDATA_E)) + ('0' & unsigned(OUTPUTDATA_F)) + ('0' & unsigned(OUTPUTDATA_G)) + ('0' & unsigned(OUTPUTDATA_H)) + ('0' & unsigned(OUTPUTDATA_I)) + ('0' & unsigned(OUTPUTDATA_J)) + ('0' & unsigned(OUTPUTDATA_K)) + ('0' & unsigned(OUTPUTDATA_L)); 
    
    OVERALL_ATTENDANCE_DATA_OUT <= std_logic_vector(OVERALL_ATTENDANCE);
end Behavioral;

下面查看测试台,测试所有等于 1 的输入。

library IEEE;
use IEEE.Std_logic_1164.all;
use IEEE.Numeric_Std.all;

entity OVERALL_ATTENDANCE_DATA_tb is
end;

architecture bench of OVERALL_ATTENDANCE_DATA_tb is

  component overall_attendance_data
    Port (OUTPUTDATA_A    : in STD_LOGIC_VECTOR(8 downto 0);
          OUTPUTDATA_B    : in STD_LOGIC_VECTOR(8 downto 0);
          OUTPUTDATA_C    : in STD_LOGIC_VECTOR(8 downto 0);
          OUTPUTDATA_D    : in STD_LOGIC_VECTOR(8 downto 0);
          OUTPUTDATA_E    : in STD_LOGIC_VECTOR(8 downto 0);
          OUTPUTDATA_F    : in STD_LOGIC_VECTOR(8 downto 0);
          OUTPUTDATA_G    : in STD_LOGIC_VECTOR(8 downto 0);
          OUTPUTDATA_H    : in STD_LOGIC_VECTOR(8 downto 0);
          OUTPUTDATA_I    : in STD_LOGIC_VECTOR(8 downto 0);
          OUTPUTDATA_J    : in STD_LOGIC_VECTOR(8 downto 0);
          OUTPUTDATA_K    : in STD_LOGIC_VECTOR(8 downto 0);
          OUTPUTDATA_L    : in STD_LOGIC_VECTOR(8 downto 0);
          OVERALL_ATTENDANCE_DATA_OUT : out STD_LOGIC_VECTOR(13 downto 0));
  end component;

  signal OUTPUTDATA_A: STD_LOGIC_VECTOR(8 downto 0);
  signal OUTPUTDATA_B: STD_LOGIC_VECTOR(8 downto 0);
  signal OUTPUTDATA_C: STD_LOGIC_VECTOR(8 downto 0);
  signal OUTPUTDATA_D: STD_LOGIC_VECTOR(8 downto 0);
  signal OUTPUTDATA_E: STD_LOGIC_VECTOR(8 downto 0);
  signal OUTPUTDATA_F: STD_LOGIC_VECTOR(8 downto 0);
  signal OUTPUTDATA_G: STD_LOGIC_VECTOR(8 downto 0);
  signal OUTPUTDATA_H: STD_LOGIC_VECTOR(8 downto 0);
  signal OUTPUTDATA_I: STD_LOGIC_VECTOR(8 downto 0);
  signal OUTPUTDATA_J: STD_LOGIC_VECTOR(8 downto 0);
  signal OUTPUTDATA_K: STD_LOGIC_VECTOR(8 downto 0);
  signal OUTPUTDATA_L: STD_LOGIC_VECTOR(8 downto 0);
  signal OVERALL_ATTENDANCE_DATA_OUT: STD_LOGIC_VECTOR(13 downto 0);

begin

  stimulus: process
  begin
    
       OUTPUTDATA_A <= "000000001"; OUTPUTDATA_B <= "000000001"; OUTPUTDATA_C <= "000000001"; OUTPUTDATA_D <= "000000001"; OUTPUTDATA_E <= "000000001"; OUTPUTDATA_F <= "000000001"; OUTPUTDATA_G <= "000000001"; OUTPUTDATA_H <= "000000001"; OUTPUTDATA_I <= "000000001"; OUTPUTDATA_J <= "000000001"; OUTPUTDATA_K <= "000000001"; OUTPUTDATA_L <= "000000001"; wait for 100ns;
  end process;

  dut: overall_attendance_data port map ( OUTPUTDATA_A                => OUTPUTDATA_A,OUTPUTDATA_B                => OUTPUTDATA_B,OUTPUTDATA_C                => OUTPUTDATA_C,OUTPUTDATA_D                => OUTPUTDATA_D,OUTPUTDATA_E                => OUTPUTDATA_E,OUTPUTDATA_F                => OUTPUTDATA_F,OUTPUTDATA_G                => OUTPUTDATA_G,OUTPUTDATA_H                => OUTPUTDATA_H,OUTPUTDATA_I                => OUTPUTDATA_I,OUTPUTDATA_J                => OUTPUTDATA_J,OUTPUTDATA_K                => OUTPUTDATA_K,OUTPUTDATA_L                => OUTPUTDATA_L,OVERALL_ATTENDANCE_DATA_OUT => OVERALL_ATTENDANCE_DATA_OUT );

end;

Simulation results from testbench

版权声明:本文内容由互联网用户自发贡献,该文观点与技术仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌侵权/违法违规的内容, 请发送邮件至 dio@foxmail.com 举报,一经查实,本站将立刻删除。

相关推荐


使用本地python环境可以成功执行 import pandas as pd import matplotlib.pyplot as plt # 设置字体 plt.rcParams[&#39;font.sans-serif&#39;] = [&#39;SimHei&#39;] # 能正确显示负号 p
错误1:Request method ‘DELETE‘ not supported 错误还原:controller层有一个接口,访问该接口时报错:Request method ‘DELETE‘ not supported 错误原因:没有接收到前端传入的参数,修改为如下 参考 错误2:cannot r
错误1:启动docker镜像时报错:Error response from daemon: driver failed programming external connectivity on endpoint quirky_allen 解决方法:重启docker -&gt; systemctl r
错误1:private field ‘xxx‘ is never assigned 按Altʾnter快捷键,选择第2项 参考:https://blog.csdn.net/shi_hong_fei_hei/article/details/88814070 错误2:启动时报错,不能找到主启动类 #
报错如下,通过源不能下载,最后警告pip需升级版本 Requirement already satisfied: pip in c:\users\ychen\appdata\local\programs\python\python310\lib\site-packages (22.0.4) Coll
错误1:maven打包报错 错误还原:使用maven打包项目时报错如下 [ERROR] Failed to execute goal org.apache.maven.plugins:maven-resources-plugin:3.2.0:resources (default-resources)
错误1:服务调用时报错 服务消费者模块assess通过openFeign调用服务提供者模块hires 如下为服务提供者模块hires的控制层接口 @RestController @RequestMapping(&quot;/hires&quot;) public class FeignControl
错误1:运行项目后报如下错误 解决方案 报错2:Failed to execute goal org.apache.maven.plugins:maven-compiler-plugin:3.8.1:compile (default-compile) on project sb 解决方案:在pom.
参考 错误原因 过滤器或拦截器在生效时,redisTemplate还没有注入 解决方案:在注入容器时就生效 @Component //项目运行时就注入Spring容器 public class RedisBean { @Resource private RedisTemplate&lt;String
使用vite构建项目报错 C:\Users\ychen\work&gt;npm init @vitejs/app @vitejs/create-app is deprecated, use npm init vite instead C:\Users\ychen\AppData\Local\npm-
参考1 参考2 解决方案 # 点击安装源 协议选择 http:// 路径填写 mirrors.aliyun.com/centos/8.3.2011/BaseOS/x86_64/os URL类型 软件库URL 其他路径 # 版本 7 mirrors.aliyun.com/centos/7/os/x86
报错1 [root@slave1 data_mocker]# kafka-console-consumer.sh --bootstrap-server slave1:9092 --topic topic_db [2023-12-19 18:31:12,770] WARN [Consumer clie
错误1 # 重写数据 hive (edu)&gt; insert overwrite table dwd_trade_cart_add_inc &gt; select data.id, &gt; data.user_id, &gt; data.course_id, &gt; date_format(
错误1 hive (edu)&gt; insert into huanhuan values(1,&#39;haoge&#39;); Query ID = root_20240110071417_fe1517ad-3607-41f4-bdcf-d00b98ac443e Total jobs = 1
报错1:执行到如下就不执行了,没有显示Successfully registered new MBean. [root@slave1 bin]# /usr/local/software/flume-1.9.0/bin/flume-ng agent -n a1 -c /usr/local/softwa
虚拟及没有启动任何服务器查看jps会显示jps,如果没有显示任何东西 [root@slave2 ~]# jps 9647 Jps 解决方案 # 进入/tmp查看 [root@slave1 dfs]# cd /tmp [root@slave1 tmp]# ll 总用量 48 drwxr-xr-x. 2
报错1 hive&gt; show databases; OK Failed with exception java.io.IOException:java.lang.RuntimeException: Error in configuring object Time taken: 0.474 se
报错1 [root@localhost ~]# vim -bash: vim: 未找到命令 安装vim yum -y install vim* # 查看是否安装成功 [root@hadoop01 hadoop]# rpm -qa |grep vim vim-X11-7.4.629-8.el7_9.x
修改hadoop配置 vi /usr/local/software/hadoop-2.9.2/etc/hadoop/yarn-site.xml # 添加如下 &lt;configuration&gt; &lt;property&gt; &lt;name&gt;yarn.nodemanager.res