使用 SIMD 提高数组的浮点点积的性能

如何解决使用 SIMD 提高数组的浮点点积的性能

我有这个函数来计算一个双精度数组:

void avx2_mul_64_block(double& sum,double* lhs_arr,double* rhs_arr) noexcept
{
    __m256i accumulator = _mm256_setzero_pd();

    for (std::size_t block = 0; block < 64; block += 4)
    {
        __m256i lhs = _mm256_set_pd(
            lhs_arr[block    ],lhs_arr[block + 1],lhs_arr[block + 2],lhs_arr[block + 3]);

        __m256i rhs = _mm256_set_pd(
            rhs_arr[block    ],rhs_arr[block + 1],rhs_arr[block + 2],rhs_arr[block + 3]);

        accumulator = _mm256_add_pd(accumulator,_mm256_mul_pd(lhs,rhs));
    }
    double* res = reinterpret_cast<double*>(&accumulator);
    sum += res[0] + res[1] + res[2] + res[3];
}

,并且这段代码的性能不是我想要的。我相信让它成功 - 避免为所有元素的总和创建双数组,但我不知道如何做到这一点。

顺便说一下,与 _mm256_setzero_pd 相比,_mm256_setzero_si256 将整个函数减慢了一半。

我的标志:-O3 -ftree-vectorize -march=native

附言这不是真正的问题,只是设计问题。

解决方法

评论中已经提到了一些建议,但除此之外我会尝试提出一些建议。

Haswell 和较新 CPU 上的大多数 SIMD 浮点指令的吞吐量互为小于其延迟,这意味着如果并行执行多条指令,性能可以提高。例如,根据 Agner Fog 的 instruction tablesvaddpd 在 Haswell 上有 3 的延迟和 1 个时钟周期的倒数吞吐量,这意味着 CPU 可以并行执行 3 条指令。更多的 vmulpd 指令可以并行执行,其 5 和 0.5 个时钟周期可实现延迟和互易吞吐量。

您的代码可能没有利用此指令级并行性 (ILP),因为循环体取决于在前一次循环迭代中更新的 accumulator 值。这是因为编译器不允许执行许多优化,例如重新排序 FP 数的数学运算,因为这可能会导致数学上不同的结果。因此,您的算法会受到延迟的限制。

您可以通过使用特定于编译器的选项来缓解这种情况,例如用于 gcc 和兼容编译器的 -ffast-math,但考虑到这种并行性,重写您的算法会更便携。

我还会在下面的代码中加入其他建议,例如:

  • 修正不正确的向量类型,它应该是 __m256d
  • 使用专用指令从内存中加载整个向量,而不是依赖编译器优化 _mm256_set_pd
  • 使用 FMA 内在函数,而不是依赖编译器优化 _mm256_add_pd+_mm256_mul_pd 对。 FMA 指令减少了计算的延迟,使添加有效地免费。 FMA 还产生更精确的结果,因为乘法和加法之间没有舍入。请注意,FMA 需要 AVX2,它在仅支持 AVX 的 CPU 中不可用。
  • 使用适当的内在函数从向量中提取最终和(这可能会在最终汇编器中被优化掉,因为 double 无论如何都存储在向量寄存器中)。
void avx2_mul_64_block(double& sum,double* lhs_arr,double* rhs_arr) noexcept
{
    __m256d accumulator1 = _mm256_setzero_pd();
    __m256d accumulator2 = _mm256_setzero_pd();

    for (std::size_t block = 0; block < 64; block += 4 * 2)
    {
        __m256d lhs1 = _mm256_loadu_pd(lhs_arr + block);
        __m256d lhs2 = _mm256_loadu_pd(lhs_arr + block + 4);
        __m256d rhs1 = _mm256_loadu_pd(rhs_arr + block);
        __m256d rhs2 = _mm256_loadu_pd(rhs_arr + block + 4);

        accumulator1 = _mm256_fmadd_pd(lhs1,rhs1,accumulator1);
        accumulator2 = _mm256_fmadd_pd(lhs2,rhs2,accumulator2);
    }

    accumulator1 = _mm256_add_pd(accumulator1,accumulator2);

    __m128d accumulator = _mm_add_pd(_mm256_castpd256_pd128(accumulator1),_mm256_extractf128_pd(accumulator1,1));
    accumulator = _mm_add_pd(accumulator,_mm_unpackhi_pd(accumulator,accumulator));

    sum += _mm_cvtsd_f64(accumulator);
}

在上面的代码中,我使用了两个单独的累加器,因此 CPU 现在能够并行执行两条累加链。进一步增加并行度可能是有益的(参见上面提到的性能数字),但如果块长度不能被累加器的数量乘以向量中的元素数量整除,则可能会出现更多问题。您可能需要设置尾部处理,这可能会产生一些轻微的性能开销。

请注意,如前所述,由于算术运算和 FMA 的顺序不同,因此该算法可能会产生与原始结果不严格相等的结果,从而导致数学误差的不同累积。但是,这通常不是问题,尤其是在 double 的高精度下。


上面代码中没有用到的一些建议:

  • 水平加法 (_mm256_hadd_pd) 未用于累积最终总和,因为在当前的 Intel(最多 Coffee Lake)和 AMD(最多 Zen 2)处理器上,vhadd 指令比vunpckhpd+vaddpd 对,即使后者有依赖链。这在未来的处理器中可能会改变,使用水平加法可能会变得有益。不过,在当前的 CPU 中,水平添加可能有助于节省一些代码大小。
  • 代码使用来自内存的未对齐加载,而不是 _mm256_load_pd 因为支持 AVX2 的现代 CPU 上的未对齐加载不会有性能损失,只要加载在运行时实际对齐或至少不'不跨越缓存线边界。当缓存线,尤其是页面边界被跨越时会产生开销,但通常这仍然不会在现代 CPU 上降低太多性能(Peter Cordesthis 帖子中有一些性能数据,以及那里链接的材料)。
,

正如 Marc Glisse 在上面的评论中提到的,您可能希望在编译器标志中设置 -ffast-math。这是编译器很容易优化的函数之一,您最好直接用 C++ 编写代码。

void mul_64_block(double& sum,double* rhs_arr) {
    double res = 0;
    for(int i = 0; i < 64; ++i) {
        res += lhs_arr[i] * rhs_arr[i];
    }
    sum += res;
}

此 C++ 代码产生与您的 simd 代码相同的输出。

https://godbolt.org/z/cddPMd

版权声明:本文内容由互联网用户自发贡献,该文观点与技术仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌侵权/违法违规的内容, 请发送邮件至 dio@foxmail.com 举报,一经查实,本站将立刻删除。

相关推荐


使用本地python环境可以成功执行 import pandas as pd import matplotlib.pyplot as plt # 设置字体 plt.rcParams[&#39;font.sans-serif&#39;] = [&#39;SimHei&#39;] # 能正确显示负号 p
错误1:Request method ‘DELETE‘ not supported 错误还原:controller层有一个接口,访问该接口时报错:Request method ‘DELETE‘ not supported 错误原因:没有接收到前端传入的参数,修改为如下 参考 错误2:cannot r
错误1:启动docker镜像时报错:Error response from daemon: driver failed programming external connectivity on endpoint quirky_allen 解决方法:重启docker -&gt; systemctl r
错误1:private field ‘xxx‘ is never assigned 按Altʾnter快捷键,选择第2项 参考:https://blog.csdn.net/shi_hong_fei_hei/article/details/88814070 错误2:启动时报错,不能找到主启动类 #
报错如下,通过源不能下载,最后警告pip需升级版本 Requirement already satisfied: pip in c:\users\ychen\appdata\local\programs\python\python310\lib\site-packages (22.0.4) Coll
错误1:maven打包报错 错误还原:使用maven打包项目时报错如下 [ERROR] Failed to execute goal org.apache.maven.plugins:maven-resources-plugin:3.2.0:resources (default-resources)
错误1:服务调用时报错 服务消费者模块assess通过openFeign调用服务提供者模块hires 如下为服务提供者模块hires的控制层接口 @RestController @RequestMapping(&quot;/hires&quot;) public class FeignControl
错误1:运行项目后报如下错误 解决方案 报错2:Failed to execute goal org.apache.maven.plugins:maven-compiler-plugin:3.8.1:compile (default-compile) on project sb 解决方案:在pom.
参考 错误原因 过滤器或拦截器在生效时,redisTemplate还没有注入 解决方案:在注入容器时就生效 @Component //项目运行时就注入Spring容器 public class RedisBean { @Resource private RedisTemplate&lt;String
使用vite构建项目报错 C:\Users\ychen\work&gt;npm init @vitejs/app @vitejs/create-app is deprecated, use npm init vite instead C:\Users\ychen\AppData\Local\npm-
参考1 参考2 解决方案 # 点击安装源 协议选择 http:// 路径填写 mirrors.aliyun.com/centos/8.3.2011/BaseOS/x86_64/os URL类型 软件库URL 其他路径 # 版本 7 mirrors.aliyun.com/centos/7/os/x86
报错1 [root@slave1 data_mocker]# kafka-console-consumer.sh --bootstrap-server slave1:9092 --topic topic_db [2023-12-19 18:31:12,770] WARN [Consumer clie
错误1 # 重写数据 hive (edu)&gt; insert overwrite table dwd_trade_cart_add_inc &gt; select data.id, &gt; data.user_id, &gt; data.course_id, &gt; date_format(
错误1 hive (edu)&gt; insert into huanhuan values(1,&#39;haoge&#39;); Query ID = root_20240110071417_fe1517ad-3607-41f4-bdcf-d00b98ac443e Total jobs = 1
报错1:执行到如下就不执行了,没有显示Successfully registered new MBean. [root@slave1 bin]# /usr/local/software/flume-1.9.0/bin/flume-ng agent -n a1 -c /usr/local/softwa
虚拟及没有启动任何服务器查看jps会显示jps,如果没有显示任何东西 [root@slave2 ~]# jps 9647 Jps 解决方案 # 进入/tmp查看 [root@slave1 dfs]# cd /tmp [root@slave1 tmp]# ll 总用量 48 drwxr-xr-x. 2
报错1 hive&gt; show databases; OK Failed with exception java.io.IOException:java.lang.RuntimeException: Error in configuring object Time taken: 0.474 se
报错1 [root@localhost ~]# vim -bash: vim: 未找到命令 安装vim yum -y install vim* # 查看是否安装成功 [root@hadoop01 hadoop]# rpm -qa |grep vim vim-X11-7.4.629-8.el7_9.x
修改hadoop配置 vi /usr/local/software/hadoop-2.9.2/etc/hadoop/yarn-site.xml # 添加如下 &lt;configuration&gt; &lt;property&gt; &lt;name&gt;yarn.nodemanager.res