xilinx-ise专题提供xilinx-ise的最新资讯内容,帮你更好的了解xilinx-ise。
我们有一个设置,其中两个Xilinx FPGA器件分别连接到计算机。我们使用Vivado Tcl控制台在自动化环境中对FP
我用以下VHDL代码编写了一个简单的DFF: <pre><code>library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity DFF is port (d
我为DFF编写了一个简单的VHDL代码,并在此处输入了异步复位: <pre class="lang-vhdl prettyprint-override"><code>
美好的一天!我有以下问题: 我正在使用Xilinx ISE 14.5设计Spartan 6 FPGA。我注意到这是我的设计之一
我必须使用 Spartan 3E 系列 FPGA,我的问题是最新版本的 Xilinx ISE 不支持此板。我在谷歌上进行了快速搜索
我有一个 VHDL BCD 计数器,它的输出是一个整数值(数字)。 但是当我在 Xilinx ISE 中模拟代码时,
我想写一个16bit * 16bit的乘法代码。这是我的代码: <pre><code>library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity mul
<a href="https://i.stack.imgur.com/TjipM.png" rel="nofollow noreferrer">This is what I am implementing.</a> <pre><code>library IEEE;
我在 Xilinx ISE verilog 编码方面相对很新(只有几个小时)。这是我的 uni 项目中的代码。它在 count = 0 行
我正在尝试在我的 Windows 10 上安装 Xilinx Design Suite ISE 14.7 Web 包,但它停留在 92%。我尝试了很多次,但无
我是 VHDL 新手,在编写 4 位比较器时遇到问题。 当我想比较不同的输入集时,所有输入只有一个输出。
我正在编写一个 vhdl 代码,它应该可以完成许多功能。我的代码和我的测试平台都运行良好。但在模拟
我正在尝试编写一个裸机应用程序,以使用 ov9281 相机在 VGA 显示器上流式传输图像。 <a href="https://i.stack
我试图将 AM 调制信号模拟为 (1+0.2cos(2<em>pi</em>94Hz))sin(2<em>pi</em>430kHz)。 我在 MATLAB Simulink 中模拟了它的定
我正在尝试在 vhdl 中编写代码以使用 2 比 1 多路复用器创建 16 比 1 多路复用器。 我实际上认为要做到这
我正在尝试模拟脉冲宽度调制 (PMW) 波形发生器,但在 ISE 中出现语法错误。检查了 fuse.xmsgs 并发现它在
我需要控制与我的 FPGA 接口的 ADC 的采样频率。 <ol> <li>我可以使用 50MSPS、14 位分辨率的 ADC。然后
我正在使用 zynq7000 系列 fpga,我想将数据从我的 fpga 写入 micron ddr3 sdram 内存而不使用 PS 逻辑(仅使用 PL
我在 vhdl 中构建了一个 CPU。 cpu 包含 alu、ram、mux 等子模块。现在我想将子模块的所有输入和输出值转储
<strong>我需要帮助在 Windows 10 中对 Spartan 6 上的 efuse 寄存器进行编程。</strong> <ul> <li>我们有大量使用