vivado专题提供vivado的最新资讯内容,帮你更好的了解vivado。
我在 Vivado 中为 Xilinx SoC 板构建了一个自定义硬件配置,并使用 petalinux 创建了一个自定义驱动程序来控
我的 Vivado 项目中有多个相同 IP 的实例。它们都公开相同的端口,但内部配置不同。假设我现在有三个</
我试图在我的代码中使用“端口映射”,但我不知道如何修复错误。它说两个端口映射的“索引名称不
我正在尝试使用以下接口的接口,该接口在 <code>&lt;Project Directory&gt;/OtterMCU.srcs/sources_1/new/BranchPredictor.sv<
我有一个 const unsigned char 输入,16 字节。我需要将它存储到 4 个 32 位寄存器中。 Vitis 允许使用 Xil_Out32
<pre><code>reg A,B,C; always @(*)begin //some other computations C=B; //some other computations A=C; end always @(posedge clk)begin B&lt;=A
我正在尝试将来自 12 个不同部分 (A-L) 的出席人数相加,以获得总体出席人数。每个部分的输入是一个 9
如果我在 VIVADO 程序中创建这个方案,有没有办法简化它?因为这样看起来很混乱。 <a href="https://i.
我设置了 Vivado HL WebPACK 版本。 Vivado 运行没有任何问题。据我所知,Vivado HL WebPACK 版本包含 Vicado HLS 但
问题本身很简单。是否可以在 Verilog 中合成一个异步计数器? 更多解释: 例如,如果我有类
<pre><code>module Subtask_A( input CLOCK, input BTNC, output reg [15:0]led ); wire clock0p168; reg [24:0]SET_COUNT =
我正在尝试使用 FSM 在 System Verilog 中创建自动售货机,在合成期间,软件警告我此错误: <块引用>
我使用 Yosys 生成了一个 EDIF 文件,我想在 Vivado 上进行合成后模拟。但是,我收到以下解析错误。你有
我正在尝试使用 Vivado HLS 为硬件实现色域映射过滤器的图像处理算法。我已经从 Halide 代码创建了一个可
我在 Verilog 中创建了一个 Vivado 项目。在那个项目中,我在不同的文件和单个文件中编写了几个模块,但
所以我的小组在我们的项目中遇到了一个错误,并给出了错误消息: [XSIM 43-3249] 文件 C:/Users/hp/Deskt
<pre><code>library IEEE; use IEEE.std_logic_1164.all; entity seg7ctrl is port ( mclk : in std_logic; reset : in std_logic; d0 : in st
有人熟悉 vivado 中的 tcl-console。使用 Vitis 创建 RTL 块时,会创建一个接口。我的函数有两个偏移的输入参
我想知道如何更改内部信号的值并传播此修改。 我有一个计数器,在模拟的第三个周期中,其中 cnt(内
我正在尝试运行示例代码 <a href="https://fastmachinelearning.org/hls4ml/setup.html#getting-started" rel="nofollow noreferrer">a