vivado专题提供vivado的最新资讯内容,帮你更好的了解vivado。
我有一个用白色像素 (255) 填充的黑白图像。如何在 Vivado HLS 上获取图像中存在的所有白色像素坐标?
我正在使用 Vivado HLS 进行一些高级设计。当我创建一个项目并编写一些非常简单的代码作为测试时,出
是否存在类似的命令“echo off”用于 tcl 脚本? 我知道存在命令 <code>source -notrace script.tcl</code> <br/>
我正在使用 Verilog 在 Vivado 工作。假设我有 2 个寄存器: <pre><code>reg [3327:0]a; reg [1023:0] b; </code></pre> <p
我在 vivado 2018.3 中做了这个设计: <a href="https://i.stack.imgur.com/KogO0.png" rel="nofollow noreferrer"><img src="ht
我仍在尝试构建神经网络系统。无需训练,仅使用已计算的权重进行计算。 fp_mulp 和 addsub32 实体只
我正在用 VHDL 构建神经网络。我在这个项目中有一个简单的问题。首先,我必须使用 for 语句和 if 语句
我正在尝试用 C++ 初始化一个结构数组。 这是我的结构: <pre><code>typedef ap_fixed&lt;16,1&gt; ap_fixed_d
如何在 Vivado Hls 中实现 FOPID 控制器? 我们如何继续执行此实施?
我正在使用 Zynq 并尝试将 <code>AXI4-Stream FIFO</code> 与 <code>AXI Quad SPI</code> 连接。 <code>AXI Quad SPI</code>
我在 Xilinx 的 Vitis HLS 中使用 C++。我正在编写一个简单的缓冲区来执行众所周知的重叠和添加算法 (<a href
我在下面有以下 SystemVerilog 代码,但我无法弄清楚如何合成它。我相信我的错误与我在条件语句中使用 b
我是 VHDL 语言和 Vivado 2020.1 平台的新手。我正在尝试编写一个测试平台,但我无法摆脱以下错误 <块
我对 vhdl 非常熟悉,我正在 Vivado 中执行此操作。所有这些代码都是自动生成的,我只是添加了端口“fra
我是初学者,自学。 我需要从 SPI (FIFO) 读取数据。我找到了一个例子。 <ol> <li>创建读写缓冲区<
由于需要针对Xilinx Zynq系列进行软硬件协同设计。这里索性直接将Vivado安装在ubuntu系统中(而不是安装在虚拟机上的ubuntu系统)。 安装vivado 可以参照博客:http://blog.csdn.net/chosen34/article/details/67640458 采用串口进行调试时,这里采用界面化的cutecom 安装过程如下: sudo apt-get insta